《單片機(jī)原理與應(yīng)用課程設(shè)計(jì)》-數(shù)字音樂(lè)盒設(shè)計(jì)_第1頁(yè)
《單片機(jī)原理與應(yīng)用課程設(shè)計(jì)》-數(shù)字音樂(lè)盒設(shè)計(jì)_第2頁(yè)
《單片機(jī)原理與應(yīng)用課程設(shè)計(jì)》-數(shù)字音樂(lè)盒設(shè)計(jì)_第3頁(yè)
《單片機(jī)原理與應(yīng)用課程設(shè)計(jì)》-數(shù)字音樂(lè)盒設(shè)計(jì)_第4頁(yè)
《單片機(jī)原理與應(yīng)用課程設(shè)計(jì)》-數(shù)字音樂(lè)盒設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、長(zhǎng) 沙 學(xué) 院?jiǎn)纹瑱C(jī)原理與應(yīng)用課程設(shè)計(jì)課程設(shè)計(jì)說(shuō)明書系 (部) 電子與通信工程系 專業(yè)(班級(jí)) 08電氣二班 姓 名 學(xué) 號(hào) 指導(dǎo)教師 王新輝、謝明華、劉輝 起止日期 單片機(jī)技術(shù)及應(yīng)用課程設(shè)計(jì)任務(wù)書系(部):電子與電氣工程系 專業(yè):08級(jí)電氣工程 指導(dǎo)教師:王新輝、謝明華、劉輝課題名稱數(shù)字音樂(lè)盒設(shè)計(jì)設(shè)計(jì)內(nèi)容及要求課題要求:1、 用單片機(jī)的I/O口產(chǎn)生一定頻率的方波,驅(qū)動(dòng)蜂鳴器,發(fā)出不同的音調(diào),從而演奏樂(lè)曲。2、 共有10首樂(lè)曲,每首樂(lè)曲都由相應(yīng)的按鍵控制,并且有開關(guān)鍵、暫停鍵、上一曲及下一曲控制。3、 用12864液晶顯示顯示本人的姓名、班級(jí)和學(xué)號(hào),還有歌曲的序號(hào)、播放時(shí)間,開機(jī)時(shí)顯示英文歡迎

2、提示字符等信息。設(shè)計(jì)工作量1、匯編或C51語(yǔ)言程序設(shè)計(jì);2、程序調(diào)試,在Proteus上進(jìn)行仿真;3、提交一份完整的課程設(shè)計(jì)說(shuō)明書,包括封面,中文摘要,目錄,正文(正文主要包括:設(shè)計(jì)原理、程序設(shè)計(jì)、程序分析、仿真分析、調(diào)試過(guò)程,調(diào)試結(jié)果等部分),參考文獻(xiàn)、設(shè)計(jì)總結(jié)等。進(jìn)度安排起止日期(或時(shí)間量)設(shè)計(jì)內(nèi)容(或預(yù)期目標(biāo))備注第一天課題介紹,答疑,收集材料,C51介紹第二天設(shè)計(jì)方案論證,練習(xí)編寫C51程序第三天第六天程序設(shè)計(jì)第六天第八天 程序調(diào)試、仿真第九天第十天系統(tǒng)測(cè)試并編寫設(shè)計(jì)說(shuō)明書教研室意見(jiàn)年 月 日系(部)主管領(lǐng)導(dǎo)意見(jiàn)年 月 日長(zhǎng)沙學(xué)院課程設(shè)計(jì)鑒定表姓名學(xué)號(hào)專業(yè)班級(jí)設(shè)計(jì)題目數(shù)字音樂(lè)盒設(shè)計(jì)指導(dǎo)

3、教師王新輝、謝明華、劉輝指導(dǎo)教師意見(jiàn):評(píng)定等級(jí): 教師簽名: 日期: 答辯小組意見(jiàn):評(píng)定等級(jí):答辯小組長(zhǎng)簽名:日期:教研室意見(jiàn):教研室主任簽名: 日期: 系(部)意見(jiàn):系主任簽名:日期:說(shuō)明課程設(shè)計(jì)成績(jī)分“優(yōu)秀”、“良好”、“及格”、“不及格”四類;一、 摘要本設(shè)計(jì)是以AT89C51芯片的電路為基礎(chǔ),利用keil和proteus仿真軟件,設(shè)計(jì)出一個(gè)多功能音樂(lè)盒。音樂(lè)盒主要由五大模塊構(gòu)成,包括AT89C51芯片、4*4矩陣鍵盤、蜂鳴器、晶振復(fù)位電路和12864液晶顯示器。有開機(jī)鍵(開機(jī)時(shí)顯示welcom),暫停鍵、播放鍵、上一曲、下一曲以及10個(gè)用來(lái)選擇歌曲的鍵。此外用戶可以按照自己的喜好選擇音

4、樂(lè)并將其轉(zhuǎn)化成機(jī)器碼存入單片機(jī)的存儲(chǔ)器中。對(duì)于不同型號(hào)的單片機(jī)只需要相應(yīng)的改變一下地址即可。該軟、硬件系統(tǒng)具有很好的通用性,很高的實(shí)際使用價(jià)值。 關(guān)鍵字:AT89C51;Keil;12864液晶顯示器;計(jì)時(shí);音樂(lè)盒 二、 原理描述 2.1芯片AT89C51的介紹AT89C51是一種帶4K字節(jié)閃存可編程可擦除只讀存儲(chǔ)器(FPEROMFlash Programmable and Erasable Read Only Memory)的低電壓,高性能CMOS 8位微處理器,俗稱單片機(jī)。AT89C2051是一種帶2K字節(jié)閃存可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除100次。該

5、器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,AT89C2051是它的一種精簡(jiǎn)版本。AT89C51單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。外形及引腳排列如圖2.1所示圖3.2 AT89C51引腳排列圖 2.2 LCD12864的顯示12864是一種圖形點(diǎn)陣液晶顯示器,它主要由行驅(qū)動(dòng)器/列驅(qū)動(dòng)器及12864全點(diǎn)陣液晶顯示器組成。它有8頁(yè)(8行一頁(yè))、128列,可完成圖形顯示,也可以顯示84個(gè)漢字。12864硬件模塊說(shuō)明:圖2.2中

6、,IC1為行驅(qū)動(dòng)器,IC2、IC3為行驅(qū)動(dòng)器,外部的CPU通過(guò)13根線對(duì)模塊進(jìn)行控制。其中DB7DB0為并行數(shù)據(jù)總線,E、R/W、D均為控制信號(hào)線,CSA、CSB則為選屏信號(hào),分別控制左、右半屏。 圖2.2 12864的硬件模塊圖2.3 音調(diào)的產(chǎn)生頻率的高低決定了音調(diào)的高低。音樂(lè)的十二平均率規(guī)定:每?jī)蓚€(gè)八度音(如簡(jiǎn)譜中的中音1和高音1)之間的頻率相差一倍。在兩個(gè)八度音之間又分為十二個(gè)半音。另外,音名A(簡(jiǎn)譜中的低音6)的頻率為440Hz,音名B到C之間、E到F之間為半音,其余為全音。由此可以計(jì)算出簡(jiǎn)譜中從低音1到高音1之間每個(gè)音名對(duì)應(yīng)的頻率,所有不同頻率的信號(hào)都是從同一個(gè)基準(zhǔn)頻率分頻得到的。1

7、、要產(chǎn)生音頻脈沖,只要算出某一音頻的周期(1/頻率),然后將此周期除以2,即為半周期的時(shí)間。利用定時(shí)器計(jì)時(shí)這半個(gè)周期時(shí)間,每當(dāng)計(jì)時(shí)到后就將輸出脈沖的I/O反相,然后重復(fù)計(jì)時(shí)此半周期時(shí)間再對(duì)I/O反相,就可在I/O腳上得到此頻率的脈沖。2、利用AT89C51的內(nèi)部定時(shí)器使其工作在計(jì)數(shù)器模式MODE1下,改變計(jì)數(shù)值TH0及TL0以產(chǎn)生不同頻率的方法。此外結(jié)束符和休止符可以分別用代碼00H和FFH來(lái)表示,若查表結(jié)果為00H,則表示曲子終了;若查表結(jié)果為FFH,則產(chǎn)生相應(yīng)的停頓效果。3、例如頻率為523Hz,其周期T=1/523=1912us,因此只要令計(jì)數(shù)器計(jì)時(shí)956us/1us=956,在每次技術(shù)

8、956次時(shí)將I/O反相,就可得到中音DO(523Hz)。計(jì)數(shù)脈沖值與頻率的關(guān)系公式如下:N=Fi2FrN:計(jì)算值;Fi:內(nèi)部計(jì)時(shí)一次為1us,故其頻率為1MHz; 4、其計(jì)數(shù)值的求法如下:初值T=65536-N=65536-Fi2Fr例如:設(shè)K=65536,F(xiàn)=Fi=1MHz,球低音DO(261Hz)。中音DO(523Hz)。高音的DO(1046Hz)的計(jì)算值T=65536-N=65536-Fi2Fr=65536-Fr=65536-/Fr低音DO的T=65536-/262=63627低音DO的T=65536-/523=64580低音DO的T=65536-/1047=650594、 C調(diào)各音符頻

9、率與計(jì)數(shù)值T的對(duì)照表如表4.1所示。表2.3 C調(diào)各音符頻率與計(jì)數(shù)值T的對(duì)照表低音頻率N參數(shù)中音頻率N參數(shù)高音頻率N參數(shù)Do2621908229Do523956115Do10465757Do#2771805217Do554903108Do11095454Re32941701204Re587852102Re11755151Re3111608193Re62280497Re12454848Mi3301515182Mi65975991Mi13184545Fa3491433172Fa69871686Fa13974343Fa3701351162Fa74067681Fa14804141So392127615

10、3So78463877So15683838So4151205145So83160272So16613636La4401136136La88056868La17603434La4641078129La93253664La18653232Si4941012121Si98850661Si197630302.4 節(jié)拍的確定若要構(gòu)成音樂(lè),光有音調(diào)是不夠的,還需要節(jié)拍,讓音樂(lè)具有旋律(固定的律動(dòng)),而且可以調(diào)節(jié)各個(gè)音的快滿度?!肮?jié)拍”,即Beat,簡(jiǎn)單說(shuō)就是打拍子,就像我們聽(tīng)音樂(lè)不自主的隨之拍手或跺腳。若1拍實(shí)0.5s,則1/4 拍為0.125s。至于1拍多少s,并沒(méi)有嚴(yán)格規(guī)定,就像人的心跳一樣,大部分人

11、的心跳是每分鐘72下,有些人快一點(diǎn),有些人慢一點(diǎn),只要聽(tīng)的悅耳就好。音持續(xù)時(shí)間的長(zhǎng)短即時(shí)值,一般用拍數(shù)表示。休止符表示暫停發(fā)音。一首音樂(lè)是由許多不同的音符組成的,而每個(gè)音符對(duì)應(yīng)著不同頻率,這樣就可以利用不同的頻率的組合,加以與拍數(shù)對(duì)應(yīng)的延時(shí),構(gòu)成音樂(lè)。了解音樂(lè)的一些基礎(chǔ)知識(shí),我們可知產(chǎn)生不同頻率的音頻脈沖即能產(chǎn)生音樂(lè)。對(duì)于單片機(jī)來(lái)說(shuō),產(chǎn)生不同頻率的脈沖是非常方便的,利用單片機(jī)的定時(shí)/計(jì)數(shù)器來(lái)產(chǎn)生這樣的方波頻率信號(hào)。因此,需要弄清楚音樂(lè)中的音符和對(duì)應(yīng)的頻率,以及單片機(jī)定時(shí)計(jì)數(shù)的關(guān)系。表2.41節(jié)拍與節(jié)拍碼對(duì)照節(jié)拍碼節(jié)拍數(shù)節(jié)拍碼節(jié)拍數(shù)11/4拍11/8拍22/4拍21/4拍33/4拍33/8拍41

12、拍42/1拍51又1/4拍55/8拍61又1/2拍63/4拍82拍81拍A2又1/2拍A1又1/4拍C3拍C1又1/2拍F3又3/4拍每個(gè)音符使用1個(gè)字節(jié),字節(jié)的高4位代表音符的高低,低4位代表音符的節(jié)拍,表2.41為節(jié)拍碼的對(duì)照。如果1拍為0.4秒,1/4拍實(shí)0.1秒,只要設(shè)定延遲時(shí)間就可求得節(jié)拍的時(shí)間。假設(shè)1/4拍為1DELAY,則1拍應(yīng)為4DELAY,以此類推。所以只要求得1/4拍的DELAY時(shí)間,其余的節(jié)拍就是它的倍數(shù),如圖5.3為1/4和1/8節(jié)拍的時(shí)間設(shè)定。表2.42 1/4和1/8節(jié)拍的時(shí)間設(shè)定曲調(diào)值DELAY曲調(diào)值DELAY調(diào)4/4125毫秒調(diào)4/462毫秒調(diào)3/4187毫秒調(diào)

13、3/494毫秒調(diào)2/4250毫秒調(diào)2/4125毫秒2.5 音符的編碼do re mi fa so la si分別編碼為17,重音do編為8,重音re編為9,停頓編為0。播放長(zhǎng)度以十六分音符為單位(在本程序中為165ms),一拍即四分音符等于4個(gè)十六分音符,編為4,其它的播放時(shí)間以此類推。音調(diào)作為編碼的高4位,而播放時(shí)間作為低4位,如此音調(diào)和節(jié)拍就構(gòu)成了一個(gè)編碼。以0xff作為曲譜的結(jié)束標(biāo)志。舉例1:音調(diào)do,發(fā)音長(zhǎng)度為兩拍,即二分音符,將其編碼為0x18舉例2:音調(diào)re,發(fā)音長(zhǎng)度為半拍,即八分音符,將其編碼為0x22歌曲播放的設(shè)計(jì)。先將歌曲的簡(jiǎn)譜進(jìn)行編碼,儲(chǔ)存在一個(gè)數(shù)據(jù)類型為unsigned

14、char 的數(shù)組中。程序從數(shù)組中取出一個(gè)數(shù),然后分離出高4位得到音調(diào),接著找出相應(yīng)的值賦給定時(shí)器0,使之定時(shí)操作蜂鳴器,得出相應(yīng)的音調(diào);接著分離出該數(shù)的低4位,得到延時(shí)時(shí)間,接著調(diào)用軟件延時(shí)。三、 程序設(shè)計(jì)與硬件電路3.1設(shè)計(jì)思路 程序設(shè)計(jì)部分主要有:字模部分、LCD12864顯示、簡(jiǎn)譜音調(diào)及節(jié)拍、計(jì)時(shí)、鍵盤掃描部分。硬件設(shè)計(jì)部分見(jiàn)下圖3.11:設(shè)計(jì)思路見(jiàn)如下實(shí)驗(yàn)控制流程圖3.12:初始化變量及LCD接口初始化計(jì)數(shù)器T0,定時(shí)器T1LCD顯示英文歡迎字符等待按鍵,是否有按鍵?包括播放鍵,暫停鍵,停止鍵歌曲號(hào)及播放時(shí)間顯示在LCD上主程序開始依據(jù)按鍵碼演奏對(duì)應(yīng)的歌曲YN3.2 設(shè)計(jì)程序見(jiàn)附件四、

15、 仿真調(diào)試及操作說(shuō)明1、按下開機(jī)鍵ON/C2、按下歌曲鍵0播放第一首歌3、操作說(shuō)明 44鍵盤共16個(gè)鍵,操作說(shuō)明如下:“0”:歌曲0,母親“1”:歌曲1,世上只有媽媽好“2”:歌曲2,兒行千里“3”:歌曲3,感恩的心“4”:歌曲4,七子之歌“5”:歌曲5,小青蛙“6”:歌曲6,找朋友“7”:歌曲7,千年之戀“8”:歌曲8,兩只老虎“9”:歌曲9,寂寞沙洲冷“ON/C”:開機(jī)鍵“=”:暫停鍵,此時(shí)音樂(lè)和播放時(shí)間都暫停“”(乘號(hào)):播放鍵 “+”:下一曲,播放下一首歌曲“-”:上一曲,播放上一首歌曲五、 心得體會(huì)參考資料1 譚浩強(qiáng).C語(yǔ)言程序設(shè)計(jì)(第二版)M,北京:清華大學(xué)出版社,1991.2 曾

16、屹.單片機(jī)原理與應(yīng)用(第一版)M,中南大學(xué)出版社,2009.3附件:/* C語(yǔ)言音樂(lè)盒源程序設(shè)計(jì) */#include #include #define uchar unsigned char#define uint unsigned intuchar count=0,count1=0;/count1 歌曲標(biāo)志uchar key,count2,h=1,i; uchar temp; /temp歌調(diào)uchar s1,s2,m1,m2;uchar second,minute,j=0;void delay1(uint z); /延時(shí)1mssbit key2=P32; / 按key2可切換歌曲sbit

17、key1=P33;sbit fm=P37;/蜂鳴器連續(xù)的IO口sbit rs=P22;sbit rw=P21; sbit e=P20; sbit busy=P00; sbit CS1=P24; sbit CS2=P23; /=字模=/ char code shu228=0xFF,0x1F,0xEF,0xF7,0xF7,0xEF,0x1F,0xFF,0xFF,0xF0,0xEF,0xDF,0xDF,0xEF,0xF0,0xFF,/*0,0*/0xFF,0xEF,0xEF,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xDF,0xDF,0xC0,0xDF,0xDF,0xFF,0xF

18、F,/*1,1*/0xFF,0x8F,0xF7,0xF7,0xF7,0x77,0x8F,0xFF,0xFF,0xCF,0xD7,0xDB,0xDD,0xDE,0xCF,0xFF,/*2,2*/0xFF,0xCF,0xF7,0x77,0x77,0xB7,0xCF,0xFF,0xFF,0xE7,0xDF,0xDF,0xDF,0xEE,0xF1,0xFF,/*3,3*/0xFF,0xFF,0x3F,0xDF,0xEF,0x07,0xFF,0xFF,0xFF,0xF8,0xFB,0xDB,0xDB,0xC0,0xDB,0xFF,/*4,4*/0xFF,0x07,0xF7,0x77,0x77,0xF7,

19、0xF7,0xFF,0xFF,0xE6,0xDE,0xDF,0xDF,0xEE,0xF1,0xFF,/*5,5*/0xFF,0x1F,0xEF,0x77,0x77,0xE7,0xFF,0xFF,0xFF,0xF0,0xEE,0xDF,0xDF,0xEE,0xF1,0xFF,/*6,6*/0xFF,0xC7,0xF7,0xF7,0x37,0xC7,0xF7,0xFF,0xFF,0xFF,0xFF,0xC0,0xFF,0xFF,0xFF,0xFF,/*7,7*/0xFF,0x8F,0x77,0xF7,0xF7,0x77,0x8F,0xFF,0xFF,0xE3,0xDD,0xDE,0xDE,0xDD

20、,0xE3,0xFF,/*8,8*/0xFF,0x1F,0xEF,0xF7,0xF7,0xEF,0x1F,0xFF,0xFF,0xFF,0xCE,0xDD,0xDD,0xEE,0xF0,0xFF,/*9,9*/0xFF,0xFF,0xFF,0x3F,0x3F,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xCF,0xCF,0xFF,0xFF,0xFF,/*:,0*/;char code wel716=0x7F,0x7F,0xFF,0x7F,0xFF,0x7F,0x7F,0x7F,0xF0,0xCF,0xF3,0xFC,0xF3,0xCF,0xF0,0xFF,/*w,0*/0xF

21、F,0xFF,0x7F,0x7F,0x7F,0x7F,0xFF,0xFF,0xFF,0xE0,0xDD,0xDD,0xDD,0xDD,0xEC,0xFF,/*e,1*/0xFF,0xF7,0xF7,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xDF,0xDF,0xC0,0xDF,0xDF,0xFF,0xFF,/*l,2*/0xFF,0xFF,0xFF,0x7F,0x7F,0x7F,0xFF,0xFF,0xFF,0xF1,0xEE,0xDF,0xDF,0xDF,0xEE,0xFF,/*c,3*/0xFF,0xFF,0x7F,0x7F,0x7F,0x7F,0xFF,0xFF,0x

22、FF,0xE0,0xDF,0xDF,0xDF,0xDF,0xE0,0xFF,/*o,4*/0x7F,0x7F,0x7F,0x7F,0x7F,0x7F,0x7F,0xFF,0xDF,0xC0,0xDF,0xFF,0xC0,0xDF,0xFF,0xC0,/*m,5*/0xFF,0xFF,0x7F,0x7F,0x7F,0x7F,0xFF,0xFF,0xFF,0xE0,0xDD,0xDD,0xDD,0xDD,0xEC,0xFF,/*e,6*/;unsigned char code xing1416=0xFF,0xFD,0xFD,0xFD,0xFD,0xFD,0xFD,0x01,0xFD,0xFD,0x

23、FD,0xFD,0xFD,0xFD,0xFD,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xDF,0xBF,0xC0,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/*丁,0*/0xFF,0x03,0xBB,0xBB,0xBB,0x03,0xEF,0x6F,0xEF,0xEF,0xEF,0x00,0xEF,0xEF,0xEF,0xFF,0xFF,0xF8,0xFB,0xFB,0xFB,0xF8,0xFF,0xFF,0xFC,0xBF,0x7F,0x80,0xFF,0xFF,0xFF,0xFF,/*時(shí),1*/0xBF,0xBF,0xBB,0xB

24、B,0xBB,0xBB,0x3B,0x3B,0xBB,0xBB,0xB9,0xB9,0x9B,0x9F,0xBF,0xFF,0xFF,0xDF,0x8F,0xC7,0xD3,0xD8,0xDC,0xCE,0xEF,0xED,0xEB,0xE7,0x8F,0xDF,0xFF,0xFF,/*云,2*/0xFF,0xFF,0x07,0xB7,0xB7,0xB7,0xB7,0x00,0xB7,0xB7,0xB7,0xB7,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xF0,0xFB,0xFB,0xFB,0xFB,0xC0,0xBB,0xBB,0xBB,0xBB,0xB0,0xBF,0

25、x8F,0xFF,/*電,0*/0xFF,0xDF,0xEF,0x73,0x58,0x5B,0x5B,0x5B,0x5B,0x5B,0x5B,0x5B,0xDB,0xFB,0xFB,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xF0,0xCF,0xBF,0x0F,0xFF,/*氣,1*/0xFF,0xFF,0xFB,0xFB,0xFB,0xFB,0xFB,0xFB,0xFB,0xFB,0xFB,0xF9,0xFB,0xFF,0xFF,0xFF,0xFF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0x

26、EF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE7,0xEF,0xFF,/*二,2*/0xBD,0xBD,0x01,0xBD,0xBD,0x1F,0xFF,0x00,0xFF,0xBD,0xBD,0x01,0xBD,0xBD,0xBD,0xFF,0xEF,0xEF,0xF0,0x77,0xB6,0xDF,0xE7,0xF8,0xDF,0xDF,0xDF,0xC0,0xDF,0xDF,0xDF,0xFF,/*班,3*/;unsigned char code xuehao2016=0xFF,0x8F,0xF7,0xF7,0xF7,0x77,0x8F,0xFF,0xFF,0xCF,0x

27、D7,0xDB,0xDD,0xDE,0xCF,0xFF,/*2,0*/0xFF,0x1F,0xEF,0xF7,0xF7,0xEF,0x1F,0xFF,0xFF,0xF0,0xEF,0xDF,0xDF,0xEF,0xF0,0xFF,/*0,1*/0xFF,0x1F,0xEF,0xF7,0xF7,0xEF,0x1F,0xFF,0xFF,0xF0,0xEF,0xDF,0xDF,0xEF,0xF0,0xFF,/*0,2*/0xFF,0x8F,0x77,0xF7,0xF7,0x77,0x8F,0xFF,0xFF,0xE3,0xDD,0xDE,0xDE,0xDD,0xE3,0xFF,/*8,3*/0xFF

28、,0x1F,0xEF,0xF7,0xF7,0xEF,0x1F,0xFF,0xFF,0xF0,0xEF,0xDF,0xDF,0xEF,0xF0,0xFF,/*0,4*/0xFF,0xFF,0x3F,0xDF,0xEF,0x07,0xFF,0xFF,0xFF,0xF8,0xFB,0xDB,0xDB,0xC0,0xDB,0xFF,/*4,5*/0xFF,0x8F,0xF7,0xF7,0xF7,0x77,0x8F,0xFF,0xFF,0xCF,0xD7,0xDB,0xDD,0xDE,0xCF,0xFF,/*2,6*/0xFF,0x8F,0xF7,0xF7,0xF7,0x77,0x8F,0xFF,0xF

29、F,0xCF,0xD7,0xDB,0xDD,0xDE,0xCF,0xFF,/*2,7*/0xFF,0xEF,0xEF,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xDF,0xDF,0xC0,0xDF,0xDF,0xFF,0xFF,/*1,8*/0xFF,0xFF,0x3F,0xDF,0xEF,0x07,0xFF,0xFF,0xFF,0xF8,0xFB,0xDB,0xDB,0xC0,0xDB,0xFF,/*4,9*/;char code _muqin1516=0xBF,0xBF,0xBF,0x01,0xBD,0xBD,0xB5,0x8D,0xBD,0xBD,0xBD,0x01,

30、0xBF,0xBF,0xBF,0xFF,0xFF,0xFF,0xFF,0xF0,0xF7,0xF7,0xF6,0xF1,0xF7,0xB7,0x77,0x80,0xF7,0xF7,0xFF,0xFF,/*母,0*/0xFF,0xBF,0xBB,0xBB,0xB3,0x8B,0xBA,0x39,0xBB,0x9B,0xA3,0xAB,0xBB,0xBB,0xBF,0xFF,0xFF,0xBF,0xDD,0xE5,0xED,0xBD,0x7D,0x80,0xFD,0xFD,0xF5,0xED,0x8D,0xDD,0xFF,0xFF,/*親,1*/0xFF,0xFF,0xFF,0xFF,0xFF,0

31、xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,1*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/ 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF

32、,0xFF,0xFF,0xFF,/* ,1*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,2*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,4*/0xFF,0xFF,0xFF,0

33、xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,5*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,6*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,7*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,

34、0xFF,0xFF,0xFF,0xFF,0xFF,/* ,7*/;char code _mamahao1416=0xDF,0xDF,0xDF,0x01,0xDF,0xDF,0x01,0xDF,0xDF,0xDF,0xDF,0x01,0xDF,0xDF,0xDF,0xFF,0xFF,0xFF,0xFF,0xC0,0xDF,0xDF,0xD8,0xDD,0xDD,0xDD,0xDD,0xD8,0xCF,0xDF,0xFF,0xFF,/*世,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x01,0xBF,0xBF,0xBF,0xBF,0xBF,0xFF,0xFF,0x

35、FF,0xFF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0x80,0xBF,0xBF,0xBF,0xBF,0xBF,0x9F,0xBF,0xFF,/*上,1*/0xFF,0xFF,0xFF,0x01,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x01,0xFF,0xFF,0xFF,0xFF,0xBF,0xDF,0xCE,0xE7,0xF1,0xFB,0xFF,0xFF,0xFF,0xFD,0xFB,0xE6,0x8F,0xDF,0xFF,/*只,2*/0xFF,0xFB,0x7B,0xBB,0x1B,0xCB,0xD3,0xD8,0xD

36、B,0xDB,0xDB,0x1B,0xFB,0xFB,0xFB,0xFF,0xFD,0xFE,0xFF,0xFF,0x00,0xF6,0xF6,0xF6,0xD6,0xB6,0x36,0x80,0xFF,0xFF,0xFF,0xFF,/*有,3*/0xEF,0xEF,0x0F,0xE0,0xEF,0x0F,0xFF,0xFD,0x05,0x7D,0x7D,0x7D,0x01,0x7F,0x7F,0xFF,0xBF,0xDD,0xEA,0xF3,0xF4,0x8F,0xDB,0xFB,0xFB,0xFB,0xFB,0xBB,0x7B,0xBF,0xC0,0xFF,/*媽,4*/0xEF,0xEF

37、,0x0F,0xE0,0xEF,0x0F,0xFF,0xFD,0x05,0x7D,0x7D,0x7D,0x01,0x7F,0x7F,0xFF,0xBF,0xDD,0xEA,0xF3,0xF4,0x8F,0xDB,0xFB,0xFB,0xFB,0xFB,0xBB,0x7B,0xBF,0xC0,0xFF,/*媽,5*/0xEF,0xEF,0x0F,0xE0,0xEF,0x0F,0x7F,0x7D,0x7D,0x7D,0x0D,0x75,0x79,0x7D,0x7F,0xFF,0x7F,0xBC,0xDD,0xEB,0xF3,0x8C,0xDF,0xFF,0xBF,0x7F,0x80,0xFF,0x

38、FF,0xFF,0xFF,0xFF,/*好,6*/;char code _exql1416=0xFF,0xFF,0xFF,0xFF,0xFF,0x00,0xFF,0xFF,0xFF,0x00,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x7F,0xBF,0xCF,0xF3,0xFC,0xFF,0xFF,0xFF,0xC0,0xBF,0xBF,0xBF,0xBF,0x87,0xFF,/*兒,0*/0xEF,0xF7,0x7B,0x39,0x8C,0xDD,0xBF,0xBB,0xBB,0xBB,0x3B,0xBB,0xBB,0xBB,0xBF,0xFF,0xFD,0x

39、FE,0xFF,0x00,0xFF,0xFF,0xFF,0xFF,0xBF,0x7F,0x80,0xFF,0xFF,0xFF,0xFF,0xFF,/*行,1*/0xBF,0xBF,0xBB,0xBB,0xBB,0xBB,0xBB,0x03,0xBD,0xBD,0xBD,0xBC,0xBD,0x9F,0xBF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x80,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/*千,2*/0xFF,0xFF,0x00,0x6E,0x6E,0x6E,0x6E,0x00,0x6E,0x6E,0x6

40、E,0x6E,0x00,0xFF,0xFF,0xFF,0xBF,0xBF,0xBB,0xBB,0xBB,0xBB,0xBB,0x80,0xBB,0xBB,0xBB,0xBB,0xBB,0xBF,0xBF,0xFF,/*里,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,1*/0xFF,0xFF,0x

41、FF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,2*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,4*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0

42、xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,5*/;char code _ganen1416=0xFF,0xFF,0x03,0xEB,0x2B,0xAB,0xAB,0x2B,0xFB,0xC0,0x3B,0xBA,0xC9,0xFB,0xFF,0xFF,0xFB,0xBC,0x8F,0xFF,0xC6,0xBE,0xBE,0xB6,0xAF,0xBD,0xBE,0x9E,0xF5,0xCB,0xD8,0xFF,/*感,0*/0xFF,0xFF,0xFF,0x00,0x7E,0x76,0x36,0x56,0x60,0x56,0x36,0x76,0x00,0xFF,0xFF,

43、0xFF,0xFF,0xCF,0xF3,0xFF,0xC3,0xBF,0xBF,0xBD,0xB3,0xBF,0xBF,0x8F,0xFB,0xC7,0xEF,0xFF,/*恩,1*/0xFF,0x07,0x73,0x74,0x77,0x07,0xBF,0xCF,0x70,0xF7,0xF7,0xF7,0xF7,0x07,0xFF,0xFF,0xFF,0x80,0xEF,0xEF,0xEF,0xC0,0xFF,0xFF,0xFF,0xFC,0xD9,0xBF,0xDF,0xE0,0xFF,0xFF,/*的,2*/0xFF,0x7F,0xFF,0xFF,0x0F,0xFF,0xFE,0xFD,0

44、xE3,0xF7,0xFF,0xBF,0x7F,0xFF,0xFF,0xFF,0xFB,0xFC,0xFF,0xFF,0xC0,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0x87,0xFF,0xF8,0xFD,0xFF,/*心,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,1*/

45、0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,2*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,4*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF

46、,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,5*/;char code _jmszl1416=0xFF,0xE7,0xFB,0xFB,0x03,0xDB,0xDB,0xDA,0x39,0xDB,0xDB,0xDB,0x1B,0xF3,0xFB,0xFF,0xDF,0xEE,0xB2,0x7E,0x80,0xFA,0x46,0xAE,0xDF,0xEC,0xF3,0xED,0xDE,0x3F,0xBF,0xFF,/*寂,0*/0xEF,0xF3,0xEB,0x2B,0xAB,0x83,0xAA,0xA9,0xAB,0x83,0xAB,0x2B,0xE

47、B,0xF3,0xFB,0xFF,0xFF,0x6F,0x6F,0xA8,0xAA,0xCA,0xCA,0xE2,0xCA,0xAA,0xAA,0x68,0x6F,0x7F,0xFF,0xFF,/*寞,1*/0xFF,0xEF,0xDE,0x79,0x9F,0x7F,0x9F,0xE7,0xFF,0x00,0xFF,0xF7,0xEF,0xDF,0xBF,0xFF,0xFB,0xFB,0x01,0xFE,0x7F,0x7F,0xBF,0xBF,0xDF,0xDC,0xEF,0xF7,0xFB,0xFC,0xFF,0xFF,/*沙,2*/0xEF,0x9E,0x79,0x8F,0xDF,0xBF

48、,0x00,0xDF,0xBF,0xFF,0x01,0xDF,0xBF,0x00,0xFF,0xFF,0xFB,0x03,0xFC,0xBF,0xDF,0xE7,0xF8,0xFF,0xFF,0xFF,0x80,0xFF,0xFF,0x00,0xFF,0xFF,/*洲,3*/0xFD,0xE3,0x37,0xCF,0xBF,0xDF,0xEF,0xF3,0xDC,0x3B,0xF7,0xEF,0xCF,0x9F,0xDF,0xFF,0xFD,0x81,0xFE,0xFF,0xFE,0xFE,0xFE,0xF6,0xEE,0x8E,0xD6,0xF8,0xFE,0xFF,0xFF,0xFF,/*

49、冷,4*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,1*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,2*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF

50、,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,3*/;char code _tiger1416=0xFD,0x0D,0xED,0xED,0xED,0x01,0x6D,0xED,0xED,0x01,0xED,0xED,0xED,0x04,0xED,0xFF,0xFF,0x80,0xF7,0xFB,0xFC,0xFF,0xEF,0xF6,0xF9,0xFE,0xFE,0xD9,0xBF,0xC0,0xFF,0xFF,/*兩,0*/0xFF,0xFF,0xFF,0x01,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7

51、D,0x01,0xFF,0xFF,0xFF,0xFF,0xBF,0xDF,0xCE,0xE7,0xF1,0xFB,0xFF,0xFF,0xFF,0xFD,0xFB,0xE6,0x8F,0xDF,0xFF,/*只,1*/0xBF,0xBB,0xBB,0xBB,0xBB,0xBB,0x80,0x3B,0x3B,0xBB,0x9B,0xAB,0xB1,0xBB,0xBF,0xFF,0xF7,0xF7,0xFB,0xFB,0xFD,0xC1,0xB6,0xB7,0xBB,0xBB,0xBD,0xBD,0xBF,0x8F,0xFF,0xFF,/*老,2*/0xFF,0xFF,0x07,0xF7,0xB7

52、,0xB7,0xB7,0x00,0x55,0x55,0x55,0x75,0x27,0xF7,0xFF,0xFF,0x7F,0x9F,0xE0,0x7F,0xBF,0xC1,0xFD,0xFD,0xFD,0xC1,0xBF,0xBF,0xBF,0x87,0xDF,0xFF,/*虎,3*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,0*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,1*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,/* ,2*/0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論