簡易14位數(shù)字密碼鎖控制電路設(shè)計實驗報告_第1頁
簡易14位數(shù)字密碼鎖控制電路設(shè)計實驗報告_第2頁
簡易14位數(shù)字密碼鎖控制電路設(shè)計實驗報告_第3頁
簡易14位數(shù)字密碼鎖控制電路設(shè)計實驗報告_第4頁
簡易14位數(shù)字密碼鎖控制電路設(shè)計實驗報告_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、 中原工學(xué)院課程設(shè)計報告專用 目 錄一、前言(2)二、課設(shè)任務(wù)(2)三、方案設(shè)計、原理分析(2)四、譯碼電路設(shè)計(8)五、報警信號產(chǎn)生器(10)六、調(diào)試及結(jié)果(12)七、體會(13)一、前言本次課程設(shè)計的基本任務(wù)是著重提高學(xué)生在EDA知識學(xué)習(xí)與應(yīng)用方面的實踐技能。學(xué)生通過電路設(shè)計安裝、調(diào)試、整理資料等環(huán)節(jié),初步掌握工程設(shè)計方法和組織實踐的基本技能,逐步熟悉開展科學(xué)實踐的程序和方法。EDA技術(shù)是電子信息類專業(yè)的一門新興學(xué)科,是現(xiàn)代電子產(chǎn)品設(shè)計的核心,其任務(wù)是掌握在系統(tǒng)可編程邏輯器件及其應(yīng)用設(shè)計技術(shù),為電子產(chǎn)品開發(fā)研制打下堅實基礎(chǔ)。本課程設(shè)計對學(xué)生有如下要求:根據(jù)設(shè)計任務(wù)和指標(biāo),初步電路;通過調(diào)查

2、研究,設(shè)計計算,確定電路方案;選擇元器件,在計算機上連好線路,獨立進行試驗,并通過調(diào)試、仿真、改進方案;分析實驗結(jié)果,寫出設(shè)計總結(jié)報告:學(xué)會自己分析,找出解決問題方法;對設(shè)計中遇到的問題,能獨立思考、查閱資料,尋找答案。二、課設(shè)任務(wù)1、14位數(shù)字密碼分成高7位(DH6DH0)和低7位(DL6DL0),用數(shù)字邏輯開關(guān)預(yù)置,輸出信號out為1表示開鎖,否則關(guān)閉。2、14位數(shù)字密碼分時操作,先預(yù)置高7位,然后再置入低7位。3、要求電路工作可靠,保密性強,開鎖出錯立即報警。4、利用MAX plus2 軟件進行設(shè)計、編譯,并在FPGA芯片上實現(xiàn)。5、簡易14位數(shù)字密碼鎖模塊的框圖如下:三、方案設(shè)計、原理

3、分析首先我是一班的三號,所以我的密碼時0100010 0000011。我所做的設(shè)計是先把高七位輸入鎖存,然后在輸入低七位,最后判斷密碼是否正確,密碼正確就開鎖,密碼錯誤就報警。數(shù)字密碼鎖控制電路的組成部分:YMQ模塊,1、IC9A的設(shè)計設(shè)計要求14位數(shù)字密碼分時操作,先預(yù)置高七位0100010,而后置低七位0000011,首先可以使用寄存器將高七位存起來,而后與低七位一起進行譯碼,如果密碼正確,輸出OUT2為1,否則為0.2、數(shù)字密碼鎖控制電路原理圖:輸入密碼正確時波形圖輸入錯誤密碼時波形圖數(shù)字密碼鎖控制電路的VHDL語言編程原理分析:當(dāng)CLR為0時,寄存器與D觸發(fā)器被清零,此時在AG輸入高七

4、位密碼0100010,用CLK給一個脈沖,由于此時輸出端Q為0,經(jīng)過一個非門為1,與CLK一起經(jīng)過一個與門,送入寄存器CLK端,產(chǎn)生上升沿;而經(jīng)過非門的CLR為1,送入寄存器中,將高七位通過寄存器送入譯碼器的高七位中。然后在AG輸入低七位密碼0000011,則輸出端Y為1。當(dāng)譯碼器輸出Y為1的時候,輸出端OUT2即為1,輸出發(fā)光管全亮,標(biāo)志密碼輸入正確,后面的兩個D觸發(fā)器的輸入為1,當(dāng)用CLK2送入脈沖時,兩個D觸發(fā)器的Q端輸出都為1,其中一個輸出Q經(jīng)過三態(tài)門,三態(tài)門開啟,則OUT2B為1,開鎖。另一個輸出1經(jīng)過非門變?yōu)?,聲報警不能進行,0與分頻后的脈沖信號相與送入光報警,光報警不能進行工作

5、。當(dāng)譯碼器輸出Y為0的時候,輸出端OUT2B為0,輸出發(fā)光管不亮,標(biāo)志密碼輸入錯誤,此時后面的兩個D觸發(fā)器的輸入為0,當(dāng)用CLK2送入脈沖時,兩個D觸發(fā)器的Q端輸出都為0,其中一個輸出Q經(jīng)過三態(tài)門,三態(tài)門不能開啟,則OUT1為0,不能開鎖;另一個輸出Q經(jīng)過非門變?yōu)?,聲報警接蜂鳴器,蜂鳴器發(fā)聲,1與分頻后的脈沖信號相與送入光報警,光報警的發(fā)光二極管產(chǎn)生閃爍報警。由于人眼有反應(yīng)時間,所以頻率要經(jīng)過分頻成很小的頻率,才能使人眼可以分辨。若頻率比較高,則人眼只能看到燈一直在亮,不能顯示燈光在閃。所以我們要把頻率分成小頻率,由于試驗箱上最小是4hz的 ,而我的程序是八分頻,即分為了0.5hz,2s閃一

6、下,達到燈光閃爍的效果。四、譯碼電路設(shè)計譯碼電路VHDL語言設(shè)計LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY YMQ ISPORT (D: IN STD_LOGIC_VECTOR(6 DOWNTO 0); CLK:IN STD_LOGIC; CLR:IN STD_LOGIC; OUT1: OUT STD_LOGIC);END YMQ;ARCHITECTURE ART OF YMQ ISSIGNAL S:STD_LOGIC_VECTOR(13 DOWNTO 0);BEGIN S(6

7、DOWNTO 0)=D;PROCESS(CLK) BEGIN IF(CLKEVENT AND CLK=1)THEN IF(CLR=1)THEN S(13 DOWNTO 7)=D; END IF; END IF; END PROCESS; OUT1=1 WHEN(S(13 DOWNTO 0)=”01000100000011”)ELSE0;END ART;波形圖為: 首先設(shè)定CLR為1,五、報警信號產(chǎn)生器聲光控控制電路的分析:當(dāng)密碼輸入錯誤時,Y的輸出為0,無論CLK2是否為1,GBJ由于分頻器輸出端的脈沖控制,GBJ開始閃爍;SBJ為1處于高電平,控制蜂鳴器發(fā)聲。分頻器的VHDL語言設(shè)計LIBR

8、ARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DIV IS PORT(RESET,CLK_INPUT:IN STD_LOGIC; CLK_8:OUT STD_LOGIC);END DIV;ARCHITECTURE ART OF DIV ISSIGNAL COUNT: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN PROCESS(RESET,CLK_INPUT) BEGIN IF(RESET=0)THEN COUNT=000; ELSIF(CLK_INPUTEVEN

9、T AND CLK_INPUT=1)THEN COUNT=COUNT+1; ELSE NULL; END IF;波形圖為:原理分析:本次設(shè)計選擇八分頻設(shè)計,設(shè)計原理為:NRESET為清零端,CLK為脈沖信號,當(dāng)NRESET清零端為0時,輸出QAQH都為0不產(chǎn)生信號;當(dāng)NRESET清零端為1時,無清零信號,QAQH分別產(chǎn)生18分頻信號,在實際電路下載過程中,可根據(jù)實際情況接入不同級的分頻信號。六、調(diào)試及結(jié)果1、進行管腳鎖定選擇菜單MAX plus2 / Flooplan Editor,打開編輯窗口,并且顯示出當(dāng)前項目的選定的器件,可以通過選擇菜單Lagout/Device 來選擇器件試圖,也可以

10、通過選擇菜單Lagout/LAB view 來選擇LAB試圖,還可以通過雙擊試圖區(qū)的方法在這兩種顯示方式間切換。再次選擇 MAX plus2 / compiler ,單擊start按鈕,此時系統(tǒng)產(chǎn)生可以下載配置器件的文件。2、將設(shè)計下載到實驗箱在連接有實驗箱的計算機上調(diào)出仿真原理圖,在“MAX plus2”菜單下選擇“Programmer”,單擊按鈕“configure”完成配置,在“Hardware Fype”下拉框中選擇“Byte Blaster”單擊“OK”即可,在實驗板上進行操作。電路的管腳鎖定情況如下:引腳標(biāo)志位置管腳引腳標(biāo)志位置管腳CLKOCP22D5LK536CLK1LK1250

11、D6LK637CLK2LK1149D7LK738CLRLK1048D1LK128GBJL1221D2LK229SBJSP27D3LK330OUT1L1423D4LK435OUT2L1322七、體會這是一次綜合性很強的實驗,從最初的設(shè)想,到具體功能的實現(xiàn),再到電路的連接,直至最后的電路調(diào)試,每一個環(huán)節(jié)都讓我加深了對實際問題的思考,同時也讓我動手能力有了很大的提高。是我受益匪淺,明白了設(shè)計一個功能電路的具體要求和步驟,同時也對EDA知識有了更深刻的理解和認(rèn)識,并將其運用到了實際生活中,使其為實際生活服務(wù)。這次最大的收獲就是學(xué)會了很系統(tǒng)地去解決一個實際問題,學(xué)會了巧妙運用模塊化的思想。在整個電路設(shè)計

12、與實現(xiàn)中,最成功的地方就是有條理地將功能細化,分成一個一個小的功能來實現(xiàn)。每做好一個小功能實現(xiàn)的電路,就將其集成為一塊具有此功能的芯片,這樣,在之后的電路連接中就只要將這塊芯片接入即可,最后就這樣一級一級地將電路集成,最后生成的密碼鎖控制電路。在這個過程中,我更深刻地體會從分立元件到中下規(guī)模集成電路再到大規(guī)模集成電路的組成過程。同時也更加深入地了解了MAX plus2這一軟件的更多的功能。更重要的是在此過程中,我學(xué)會了獨立思考,遇到問題一步一步去研究與解決解決,對于電路出現(xiàn)的問題不急于拆線,而是一部分一部分地對其應(yīng)有的功能進行調(diào)試,對問題進行各個擊破??偠灾?,這次實驗讓我覺得受益匪淺,不再覺得學(xué)無所用。實驗所解決的問題與生活緊密相連,從而將平時學(xué)書本上的理論與實踐很好地結(jié)合起來,最終當(dāng)做出成品時,有很大的成就感。遇到的問題及解決方案1、編譯通過后,下載到硬件上之后,發(fā)現(xiàn)程序并沒有預(yù)期的效果。如顯示亂序而沒有規(guī)律等等,當(dāng)加入適當(dāng)?shù)倪x通信號或者脈沖后發(fā)現(xiàn)問題得到了解決。所以必須在實踐中不斷地修改以得到正確的結(jié)論。2、高電平有效還是低電平有效,這是一個非常容易忽視的問題,有時就知道這個端口要控制信號但不考慮好什么電平有效,造成錯誤,使得使能端或者清零端的出現(xiàn)錯誤控制信號。并且到底是脈沖控制,還是邊沿控制一定要清楚。在實驗時

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論