基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)論文_第1頁(yè)
基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)論文_第2頁(yè)
基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)論文_第3頁(yè)
基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)論文_第4頁(yè)
基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)論文_第5頁(yè)
已閱讀5頁(yè),還剩54頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、推薦精選基于單片機(jī)控制的步進(jìn)電機(jī)設(shè)計(jì)BASED ON MICROPROCESSOR CONTROLLED STEPPER MOTOR DESIGN畢業(yè)設(shè)計(jì)(論文)任務(wù)書(shū)班 級(jí) 學(xué)生姓名 學(xué) 號(hào) 發(fā)題日期:2013 年 2 月 30 日 完成日期: 2013 年 6 月 17 日題 目: 基于 80C51 單片機(jī)控制的步進(jìn)電機(jī) 1. 本論文的目的、意義 步進(jìn)電機(jī)是一種將數(shù)字信號(hào)直接轉(zhuǎn)換成角位移或線位移的控制驅(qū)動(dòng)元件,具有快速起動(dòng)和停止的特點(diǎn)。 目的:本課程設(shè)計(jì)的內(nèi)容是利用 51 單片機(jī),達(dá)到控制步進(jìn)電機(jī)的啟動(dòng)、停止、正轉(zhuǎn)、反轉(zhuǎn)、多檔速度調(diào)速和狀態(tài)顯示的目的,使步進(jìn)電機(jī)控制更加靈活。利用六位數(shù)碼管

2、增設(shè)電機(jī)狀態(tài)顯示功能,各項(xiàng)數(shù)據(jù)更直觀。 意義:因?yàn)椴竭M(jìn)電動(dòng)機(jī)組成的控制系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,價(jià)格低廉,性能上能滿足工業(yè)控制的基本要求,廣泛地應(yīng)用于手工業(yè)自動(dòng)控制、數(shù)控機(jī)床、衛(wèi)星天線定位系統(tǒng)、醫(yī)療器件以及各種可控機(jī)械工具等等,并且在航空、航天、汽車(chē)、精密電子等行業(yè)也被廣泛應(yīng)用。在電工設(shè)備中的應(yīng)用,最重要的就是應(yīng)用在直流旋轉(zhuǎn)電機(jī)中。在控制系統(tǒng)中,步進(jìn)電機(jī)還有其它的用途,例如測(cè)速電機(jī)、伺服電機(jī)等。 2、學(xué)生應(yīng)完成的任務(wù) (1)查閱單片機(jī)、以及步進(jìn)電機(jī)的相關(guān)資料,了解步進(jìn)電機(jī)工作情況; (2)選擇合適的電子元件設(shè)計(jì)出硬件電路并生成 PCB 板; (3)編寫(xiě)軟件程序?qū)崿F(xiàn)啟動(dòng)、停止、正轉(zhuǎn)、反轉(zhuǎn)、調(diào)速和狀態(tài)顯示的目

3、的; (4)綜合調(diào)試; (5)撰寫(xiě)畢業(yè)論文。 3、論文各部分內(nèi)容及時(shí)間分配:(共 16 周)第一部分 查閱資料 ( 2 周)推薦精選第二部分 系統(tǒng)結(jié)構(gòu)設(shè)計(jì) ( 4 周)推薦精選第三部分 系統(tǒng)的應(yīng)用程序設(shè)計(jì) ( 4 周)第四部分 綜合調(diào)試 ( 2 周)第五部分 撰寫(xiě)畢業(yè)設(shè)計(jì)資料 ( 3 周)評(píng)閱及答辯 ( 1 周)備 注 答辯前應(yīng)向指導(dǎo)老師交畢業(yè)設(shè)計(jì)(論文)說(shuō)明書(shū)(書(shū)面文檔應(yīng)不少于1 萬(wàn) 2 千個(gè)漢字)和電子文檔(含畢業(yè)設(shè)計(jì)(論文)說(shuō)明書(shū)及應(yīng)用軟件) 。 指導(dǎo)教師: 2013 年 2 月 25 日 審 批 人: 年 月 日推薦精選 摘 要 步進(jìn)電機(jī)是將電脈沖信號(hào)轉(zhuǎn)為角位移或線位移的開(kāi)環(huán)控制元件。

4、由步進(jìn)電動(dòng)機(jī)組成的開(kāi)環(huán)系統(tǒng)既簡(jiǎn)單廉價(jià),又可靠穩(wěn)定。它有瞬間啟動(dòng),急速停止,精度高等特點(diǎn)。目前打印機(jī),繪圖儀,機(jī)器人,數(shù)控機(jī)床等等設(shè)備都以步進(jìn)電機(jī)為核心動(dòng)力。在各種辦公自動(dòng)化設(shè)備以及控制裝置等領(lǐng)域中有著極其廣泛的應(yīng)用。本文介紹的是基于單片機(jī)的控制步進(jìn)電機(jī)的系統(tǒng)設(shè)計(jì),通過(guò)單片機(jī)、ULN2003驅(qū)動(dòng)芯片以及相應(yīng)的按鍵實(shí)現(xiàn)各種功能,并且步進(jìn)電機(jī)的工作狀態(tài)要用相應(yīng)的數(shù)碼管顯示出來(lái)。本系統(tǒng)采用模塊化設(shè)計(jì),結(jié)構(gòu)簡(jiǎn)單,可靠,清晰明了。通過(guò)人機(jī)交互換接口可實(shí)現(xiàn)各功能設(shè)置,操作簡(jiǎn)單,易于掌握。本文內(nèi)容介紹了步進(jìn)電機(jī)以及單片機(jī)原理、該系統(tǒng)的硬件電路、程序組成,同時(shí)對(duì)軟、硬件進(jìn)行了調(diào)試,介紹了制作 PCB 板步驟。該

5、設(shè)計(jì)具有思路明確、可靠性高、穩(wěn)定性強(qiáng)等特點(diǎn),通過(guò)調(diào)試實(shí)現(xiàn)以下幾個(gè)主要功能:(1) 控制步進(jìn)電機(jī)實(shí)現(xiàn)正轉(zhuǎn)和反轉(zhuǎn);(2) 控制步進(jìn)電機(jī)轉(zhuǎn)速;(3) 設(shè)計(jì)步進(jìn)電機(jī)的脈沖放大電路,能驅(qū)動(dòng)相電壓為 5V、相電流位為 0.4A 的步進(jìn)電機(jī)工作;(4) 實(shí)現(xiàn)對(duì)步進(jìn)電機(jī)圈數(shù)的預(yù)置;(5) 同步顯示步進(jìn)電機(jī)所轉(zhuǎn)圈數(shù)。關(guān)鍵詞 步進(jìn)電機(jī) PM25L-024-STB6;SST89E516RD;C 語(yǔ)言推薦精選AbstractThe stepper motor is a open-loop control components that could be to transform the electrical puls

6、e signal into angular displacement or linear displacement.Stepper motor open-loop system is both simple and cheap, reliable and stable. It has instant start, rapid stop, and high accuracy.At present, printer, plotter, robot, CNC machine tools and other equipment with stepping motor as the core drivi

7、ng power.In various kinds of office automation equipment and control devices, and other fields have extremely extensive application.This article describe the stepper motor controled system design which is based on the microcontroller, Through the single-chip microcomputer, ULN2003 drive chip and cor

8、responding key achieve those functions, and the working state of the stepping motor with corresponding digital tube display.The system USES the modular design, simple structure, reliable, and clarity.Through the man-machine interface can realize A variety of function setting, simple operation, easy

9、to master.This paper introduces the content of the stepping motor and the principle of single-chip microcomputer, the system hardware circuit, program composition, at the same time for the software and hardware debugging, introduces making PCB steps. This design has clear thinking, high reliability,

10、 and strong stability and other characteristics, through the debugging realize the following main functions:(1) Controlling the corotation and inversion of the stepper motor; (2) Controlling the speed of stepper motor;(3) designing the pulse amplifier circuit of stepper motor to drive the stepper mo

11、tor with 5V voltage,0.4A phase current;(4) achieving the presetting of laps of the stepper motor;(5) synchronously displaying the circle number and speed of the stepper motor.推薦精選Key words ste pping motor PM25L-024-STB6; SST89E516RD; C language推薦精選目錄摘 要 .IAbstract.I目錄.1第 1 章 緒 論.11.1 引言.11.2 本文研究的目的

12、和意義.11.3 國(guó)內(nèi)外研究概況及趨勢(shì).21.4 本文研究?jī)?nèi)容.2第 2 章 步進(jìn)電機(jī)的工作原理及特性.32.1 步進(jìn)電機(jī)的概念.32.2 步進(jìn)電機(jī)的特點(diǎn).32.3 步進(jìn)電機(jī)的分類(lèi).42.4 步進(jìn)電機(jī)的工作原理.52.4.1 步進(jìn)電機(jī)結(jié)構(gòu)(三相).52.4.2 對(duì)齒和錯(cuò)齒.52.4.3 工作原理.62.4.4 工作方式(四相).72.4.5 步進(jìn)電機(jī)的常用術(shù)語(yǔ).82.5 步進(jìn)電機(jī)的振蕩和失步.82.5.1 振蕩.82.5.2 失步.9第 3 章 硬件電路設(shè)計(jì).103.1 步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng).103.2 控制模塊.103.3 驅(qū)動(dòng)模塊.113.4 顯示模塊.123.5 按鍵模塊.123.6 步進(jìn)電

13、機(jī)部分.13推薦精選第 4 章 軟件設(shè)計(jì).154.1 程序流程圖.154.2 系統(tǒng)主程序.164.3 定時(shí)中斷設(shè)計(jì).174.4 外部中斷設(shè)計(jì).17第 5 章 PCB 板設(shè)計(jì)及硬件調(diào)試.195.1 Altium Designer 簡(jiǎn)介.195.2 PCB 制作過(guò)程.195.2.1 原理圖繪制.195.2.2 元件封裝.195.2.3 規(guī)劃電路板.205.2.4 電路板(PCB)編輯器.205.2.5 布置元件.205.2.7 自動(dòng)布線與手工調(diào)整.205.2.8 PCB 文件的保存.215.3 本設(shè)計(jì)的 PCB.215.4 硬件電路調(diào)試.22結(jié) 論.23致 謝.24參考文獻(xiàn).25附錄 1.26附錄

14、 2.27推薦精選第 1 章 緒 論1.1 引言一個(gè)國(guó)家的制造業(yè)水平在很大程度上可以體現(xiàn)國(guó)家的實(shí)力,國(guó)家的發(fā)展也在很大程度上依賴于先進(jìn)的制造業(yè),所以大多數(shù)國(guó)家都非常重視大力發(fā)展制造業(yè),二戰(zhàn)后,計(jì)算機(jī)控制技術(shù)、微電子技術(shù)、信息和自動(dòng)化技術(shù)有了迅速的發(fā)展,并在制造業(yè)中得到了愈來(lái)愈廣泛的應(yīng)用,具有很多優(yōu)點(diǎn)的步進(jìn)電機(jī)就是一個(gè)重要角色,比如在數(shù)控技術(shù)中。 步進(jìn)電動(dòng)機(jī)又稱脈沖電動(dòng)機(jī),其應(yīng)用發(fā)展己有約 80 年的歷史??梢哉f(shuō)步進(jìn)電機(jī)是純粹的數(shù)字控制電動(dòng)機(jī),步進(jìn)電機(jī)驅(qū)動(dòng)器通過(guò)控制脈沖,控制步進(jìn)電動(dòng)機(jī)各相繞組的導(dǎo)通或截止,從而使電動(dòng)機(jī)產(chǎn)生步進(jìn)運(yùn)動(dòng)。就是說(shuō)給一個(gè)電脈沖信號(hào),電動(dòng)機(jī)就轉(zhuǎn)過(guò)一個(gè)角度或者前進(jìn)一步,其輸出

15、轉(zhuǎn)角、轉(zhuǎn)速與輸入脈沖的個(gè)數(shù)、頻率有著嚴(yán)格的比例關(guān)系。這些關(guān)系在負(fù)載 能力范圍內(nèi)不隨電源電壓、負(fù)載大小、環(huán)境條件等的變化而變化。在非超載的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,同時(shí)步進(jìn)電機(jī)只有周期性的誤差而無(wú)累積誤差,精度高。步進(jìn)電動(dòng)機(jī)可以在寬廣的頻率范圍內(nèi)通過(guò)改變脈沖頻率來(lái)實(shí)現(xiàn)調(diào)速、快速起停、正反轉(zhuǎn)控制等,這是步進(jìn)電動(dòng)機(jī)最突出的優(yōu)點(diǎn)。正是由于步進(jìn)電機(jī)具有突出的優(yōu)點(diǎn),所以成了機(jī)電一體化的關(guān)鍵產(chǎn)品之一,廣泛應(yīng)用在各種自動(dòng)化控制系統(tǒng)中。隨著微電子和計(jì)算機(jī)技術(shù)的發(fā)展,步進(jìn)電機(jī)的需求量與日俱增,在各個(gè)國(guó)民經(jīng)濟(jì)領(lǐng)域都有應(yīng)用,所以本課題的研究相當(dāng)有必要。1.2

16、本文研究的目的和意義步進(jìn)電動(dòng)機(jī)是用電脈沖信號(hào)進(jìn)行控制,將電脈沖信號(hào)轉(zhuǎn)換成相應(yīng)的角位移或線位移的微電動(dòng)機(jī),它最突出的優(yōu)點(diǎn)是可以在寬廣的頻率范圍內(nèi)通過(guò)改變脈沖頻率來(lái)實(shí)現(xiàn)調(diào)速,快速起停、正反轉(zhuǎn)控制及制動(dòng)等,并且用其組成的開(kāi)環(huán)系統(tǒng)既簡(jiǎn)單、廉價(jià),又非常可行,因此在打印機(jī)等辦公自動(dòng)化設(shè)備以及各種控制裝置等眾多領(lǐng)域有著極其廣泛的應(yīng)用。隨著微電子和計(jì)算機(jī)技術(shù)的發(fā)展,步進(jìn)電動(dòng)機(jī)的需求量與日俱增,研制步進(jìn)電機(jī)驅(qū)動(dòng)器及其控制系統(tǒng)具有十分重要的意義。推薦精選1.3 國(guó)內(nèi)外研究概況及趨勢(shì)在日本,60 年代初期,開(kāi)發(fā)了用于數(shù)控裝置及計(jì)算機(jī)外部設(shè)備的磁阻式步進(jìn)電動(dòng)機(jī)。60 年代中期至今,開(kāi)發(fā)了混合式步進(jìn)電動(dòng)機(jī)。在我國(guó),步進(jìn)

17、電動(dòng)機(jī)的研制始于 1958 年。當(dāng)時(shí)只有清華大學(xué),華中理工大學(xué)等少數(shù)高等院校在從事這項(xiàng)工作。到了 60 年代末,70 年代初,由于電子工業(yè)和數(shù)字控制技術(shù)的發(fā)展,特別是數(shù)字控制線切割機(jī)床發(fā)展的需要,才使步進(jìn)電動(dòng)機(jī)的研究工作蓬勃開(kāi)展起來(lái)。經(jīng)過(guò)四十幾年的發(fā)展,隨著步進(jìn)電動(dòng)機(jī)理論的日臻完善,特別是磁阻式步進(jìn)電動(dòng)機(jī),產(chǎn)品品種、規(guī)格,門(mén)類(lèi)的系列化以及出現(xiàn)了象無(wú)刷直流電動(dòng)機(jī)系統(tǒng)那種更優(yōu)越的伺服系統(tǒng),才使得步進(jìn)電動(dòng)機(jī)的發(fā)展勢(shì)頭有所緩和,總體看來(lái),目前其發(fā)展正趨于平緩。步進(jìn)電動(dòng)機(jī)的種類(lèi)很多,按其工作原理可分為磁阻式、永磁式、混合式三大類(lèi)型。由于上述三種類(lèi)型步進(jìn)電動(dòng)機(jī)的結(jié)構(gòu)及作用原理各不相同,因此其市場(chǎng)的應(yīng)用以及

18、發(fā)展的情況也各不相同。但不管如何發(fā)展,總離不開(kāi)“輕、薄短小、高效率、低振動(dòng)、低噪聲、低價(jià)格”微特電機(jī)發(fā)展的永恒主題3。1.4 本文研究?jī)?nèi)容本論文所選的步進(jìn)電機(jī)是四相步進(jìn)電機(jī),實(shí)現(xiàn)步進(jìn)電機(jī)加速、減速,正轉(zhuǎn)、反轉(zhuǎn),預(yù)置圈數(shù),圈數(shù)同步,以及轉(zhuǎn)速級(jí)別顯示。采用的方法是利用單片機(jī)控制步進(jìn)電機(jī)的驅(qū)動(dòng)。步進(jìn)電機(jī)是一種將電脈沖轉(zhuǎn)化為角位移的執(zhí)行機(jī)構(gòu)。當(dāng)步進(jìn)驅(qū)動(dòng)器接收到一個(gè)脈沖信號(hào),它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(稱為步距角) ,它的旋轉(zhuǎn)是以固定的角度一步一步運(yùn)行的??梢酝ㄟ^(guò)控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過(guò)控制脈沖頻率來(lái)控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目

19、的。本次畢業(yè)設(shè)計(jì)就是通過(guò)改變脈沖頻率來(lái)調(diào)節(jié)步進(jìn)電機(jī)的速度的,并且通過(guò)數(shù)碼管顯示其轉(zhuǎn)速的級(jí)別。另外通過(guò)單片機(jī)實(shí)現(xiàn)它的正反轉(zhuǎn),步進(jìn)電機(jī)可以作為一種控制用的特種電機(jī),利用其沒(méi)有積累誤差(精度為100%)的特點(diǎn),廣泛應(yīng)用于各種開(kāi)環(huán)控制。推薦精選第 2 章 步進(jìn)電機(jī)的工作原理及特性2.1 步進(jìn)電機(jī)的概念步進(jìn)電機(jī)是一種將電脈沖轉(zhuǎn)為角位移或線位移的執(zhí)行機(jī)構(gòu)。簡(jiǎn)單一點(diǎn)講,當(dāng)驅(qū)動(dòng)器接收到一個(gè)脈沖信號(hào),它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(即步進(jìn)角) 。我們可以通過(guò)控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)我們也可以通過(guò)控制脈沖頻率來(lái)控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目的。在非超載

20、的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,即給電機(jī)加一個(gè)脈沖信號(hào),電機(jī)則轉(zhuǎn)過(guò)一個(gè)步距角。這一線性關(guān)系的存在,加上步進(jìn)電機(jī)只有周期性的誤差而無(wú)累積誤差等特點(diǎn)。使得在速度、位置等控制領(lǐng)域用步進(jìn)電機(jī)來(lái)控制變的非常的簡(jiǎn)單、精度高。 從原理上講,步進(jìn)電機(jī)是一種低速同步電動(dòng)機(jī)。本次畢業(yè)設(shè)計(jì)采用的是步距角為 1.8 度的四相八拍永磁式步進(jìn)電機(jī)。2.2 步進(jìn)電機(jī)的特點(diǎn)1. 一般步進(jìn)電機(jī)的精度為步進(jìn)角的 3-5%,角位移與輸入脈沖數(shù)嚴(yán)格成正比,沒(méi)有累計(jì)誤差,具有良好的跟隨性。2. 步進(jìn)電機(jī)外表不允許較高的溫度。步進(jìn)電機(jī)溫度過(guò)高首先會(huì)使電機(jī)的磁性材料退磁,從而導(dǎo)致力矩下

21、降乃至于失步;一般來(lái)講,磁性材料的退磁點(diǎn)都在攝氏130 度以上,有的甚至高達(dá)攝氏 200 度以上,所以步進(jìn)電機(jī)外表溫度在攝氏 80-90度完全正常。3. 步進(jìn)電機(jī)的力矩會(huì)隨轉(zhuǎn)速的升高而下降。當(dāng)步進(jìn)電機(jī)轉(zhuǎn)動(dòng)時(shí),電機(jī)各相繞組的電感將形成一個(gè)反向電動(dòng)勢(shì);頻率越高,反向電動(dòng)勢(shì)越大。在它的作用下,電機(jī)隨頻率(或速度)的增大而相電流減小,從而導(dǎo)致力矩下降。4. 步進(jìn)電機(jī)自身的噪聲和振動(dòng)較大,帶慣性負(fù)載的能力較差。5. 由步進(jìn)電機(jī)與驅(qū)動(dòng)電路組成的開(kāi)環(huán)數(shù)控系統(tǒng),既非常簡(jiǎn)單、廉價(jià),又非常的可靠。同時(shí),它也可以與角度反饋環(huán)節(jié)組成高性能的閉環(huán)數(shù)控系統(tǒng)。6. 步進(jìn)電機(jī)的動(dòng)態(tài)響應(yīng)快,易于啟停,正反轉(zhuǎn)及變速。推薦精選7.

22、 速度可在相當(dāng)寬的范圍內(nèi)平滑調(diào)節(jié),低速下仍能保證獲得大轉(zhuǎn)矩,因此,一般可以不用減速器而直接驅(qū)動(dòng)負(fù)載。8. 步進(jìn)電機(jī)只能通過(guò)脈沖電源供電才能運(yùn)行,它不能直接使用交流電源和直流電源。9. 步進(jìn)電機(jī)存在振蕩和失步現(xiàn)象,必須對(duì)控制系統(tǒng)和機(jī)械負(fù)載采取相應(yīng)的措施。10. 步進(jìn)電機(jī)低速時(shí)可以正常運(yùn)轉(zhuǎn),但若高于一定速度就無(wú)法啟動(dòng),并伴有嘯叫聲。11. 步進(jìn)電動(dòng)機(jī)以其顯著的特點(diǎn),在數(shù)字化制造時(shí)代發(fā)揮著重大的用途。如打印機(jī),繪圖儀、數(shù)控機(jī)床切割。伴隨著不同的數(shù)字化技術(shù)的發(fā)展以及步進(jìn)電機(jī)本身技術(shù)的提高,步進(jìn)電機(jī)將會(huì)在更多的領(lǐng)域得到應(yīng)用。2.3 步進(jìn)電機(jī)的分類(lèi)現(xiàn)在比較常用的步進(jìn)電機(jī)包括反應(yīng)式步進(jìn)電機(jī)(VR) 、永磁式

23、步進(jìn)電機(jī)(PM) 、混合式步進(jìn)電機(jī)(HB)和單相式步進(jìn)電機(jī)等。反應(yīng)式步進(jìn)電動(dòng)機(jī)采用高導(dǎo)磁材料構(gòu)成齒狀轉(zhuǎn)子和定子,其結(jié)構(gòu)簡(jiǎn)單,生產(chǎn)成本低,步距角可以做的相當(dāng)小,一般為三相,可實(shí)現(xiàn)大轉(zhuǎn)矩輸出,步進(jìn)角一般為1.5 度,但噪聲和振動(dòng)都很大。反應(yīng)式步進(jìn)電機(jī)的轉(zhuǎn)子磁路由軟磁材料制成,定子上有多相勵(lì)磁繞組,利用磁導(dǎo)的變化產(chǎn)生轉(zhuǎn)矩,但動(dòng)態(tài)性能相對(duì)較差。永磁式步進(jìn)電機(jī)轉(zhuǎn)子采用多磁極的圓筒形的永磁鐵,在其外側(cè)配置齒狀定子。用轉(zhuǎn)子和定子之間的吸引和排斥力產(chǎn)生轉(zhuǎn)動(dòng),它的出力大,動(dòng)態(tài)性能好,但步距角一般比較大。一般為兩相,轉(zhuǎn)矩和體積較小,步進(jìn)角一般為 7.5 度 或 15 度?;旌鲜讲竭M(jìn)電機(jī)是指混合了永磁式和反應(yīng)式的優(yōu)

24、點(diǎn)。它又分為兩相和五相:兩相步進(jìn)角一般為 1.8 度而五相步進(jìn)角一般為 0.72 度。這種步進(jìn)電機(jī)的應(yīng)用最為廣泛,它是 PM 和 VR 的復(fù)合產(chǎn)品,其轉(zhuǎn)子采用齒狀的稀土永磁材料,定子則為齒狀的突起結(jié)構(gòu)。此類(lèi)電機(jī)綜合了反應(yīng)式和永磁式兩者的優(yōu)點(diǎn),步距角小,出力大,動(dòng)態(tài)性能好,是性能較好的一類(lèi)步進(jìn)電動(dòng)機(jī),在計(jì)算機(jī)相關(guān)的設(shè)備中多用此類(lèi)電機(jī)。推薦精選2.4 步進(jìn)電機(jī)的工作原理2.4.1 步進(jìn)電機(jī)結(jié)構(gòu)(三相)圖 2-1 步進(jìn)電機(jī)內(nèi)部結(jié)構(gòu)圖如圖 2-1 所示,步進(jìn)電機(jī)分為轉(zhuǎn)子和定子兩部分:1. 定子:由硅鋼片疊成的,定子上有 6 大磁極,每 2 個(gè)相對(duì)的磁極(N,S)組成一對(duì),共有 3 對(duì)。定子齒有三個(gè)勵(lì)磁

25、繞阻,其幾何軸線依次分別與轉(zhuǎn)子齒軸線錯(cuò)開(kāi)。 0、1/3、2/3, (相鄰兩轉(zhuǎn)子齒軸線間的距離為齒距以 表示) ,即 A 與齒1 相對(duì)齊,B 與齒 2 向右錯(cuò)開(kāi) 1/3,C 與齒 3 向右錯(cuò)開(kāi) 2/3,A與齒 5 相對(duì)齊,(A就是 A,齒 5 就是齒 1) 。 2. 轉(zhuǎn)子:由軟磁材料制成,其外表面也均勻地分布著小齒,與定子上的小齒并且小齒的大小相同,間距相同。2.4.2 對(duì)齒和錯(cuò)齒圖 2-2 步進(jìn)電機(jī)轉(zhuǎn)子展開(kāi)圖反應(yīng)式步進(jìn)電機(jī)的動(dòng)力來(lái)源于電磁力,只有電機(jī)存在錯(cuò)齒現(xiàn)象才能轉(zhuǎn)動(dòng)。在電推薦精選磁力的作用下,轉(zhuǎn)子被推動(dòng)到最大磁導(dǎo)率的位置,定子小齒與轉(zhuǎn)子小齒對(duì)齊的位置,并處于平衡狀態(tài),如圖 2-2 中的 A

26、 相位置,這種現(xiàn)象被稱為對(duì)齒。而對(duì)于三相步進(jìn)電機(jī)來(lái)說(shuō),當(dāng)某一相得磁極處于最大磁導(dǎo)位置時(shí),另外兩相必須處于非最大磁導(dǎo)位置,即定子和轉(zhuǎn)子不對(duì)齊位置,這種現(xiàn)象被稱為錯(cuò)齒。推薦精選2.4.3 工作原理圖 2-3 步進(jìn)電機(jī)三相接線圖開(kāi)始時(shí),開(kāi)關(guān) SB 接通電源,SA、SC、SD 斷開(kāi),B 相磁極和轉(zhuǎn)子 0、3 號(hào)齒對(duì)齊,同時(shí),轉(zhuǎn)子的 1、4 號(hào)齒就和 C、D 相繞組磁極產(chǎn)生錯(cuò)齒,2、5 號(hào)齒就和 D、A 相繞組磁極產(chǎn)生錯(cuò)齒。當(dāng)開(kāi)關(guān) SC 接通電源,SB、SA、SD 斷開(kāi)時(shí),由于 C 相繞組的磁力線和 1、4 號(hào)齒之間磁力線的作用,使轉(zhuǎn)子轉(zhuǎn)動(dòng),1、4 號(hào)齒和 C 相繞組的磁極對(duì)齊。而 0、3 號(hào)齒和 A、

27、B 相繞組產(chǎn)生錯(cuò)齒,2、5 號(hào)齒就和 A、D 相繞組磁極產(chǎn)生錯(cuò)齒。依次類(lèi)推,A、B、C、D 四相繞組輪流供電,則轉(zhuǎn)子會(huì)沿著 A、B、C、D 方向轉(zhuǎn)動(dòng)4。四相步進(jìn)電機(jī)按照通電順序的不同,可分為單四拍、雙四拍、八拍三種工作方式。單四拍與雙四拍的步距角相等,但單四拍的轉(zhuǎn)動(dòng)力矩小。八拍工作方式的步距角是單四拍與雙四拍的一半,因此,八拍工作方式既可以保持較高的轉(zhuǎn)動(dòng)力矩又可以提高控制精度。單四拍、雙四拍與八拍工作方式的電源通電時(shí)序與波形分別如圖2-4 的 a,b,c 所示:推薦精選a)單四拍 b)雙四拍 c)八拍圖 2-4 步進(jìn)電機(jī)工作方式2.4.4 工作方式(四相)1. 單四拍:通電順序?yàn)椋?ABCD;

28、2. 雙四拍:通電順序?yàn)椋?ABBCCDDA;3. 四相八拍:通電順序?yàn)椋?AABBBCCCDDDA;這三種工作方式的區(qū)別,如下表所示:表 2-1 步進(jìn)電機(jī)三種工作方式的性能比較工作方式單四拍雙四拍八拍步進(jìn)周期TTT每相通電時(shí)間T2T3T走齒周期4T4T8T相電流小較大最大高頻性能差較好較好轉(zhuǎn)矩小中大電磁阻尼小較大較大振蕩容易較容易不容易功耗小大中由表 2-1 可以看出這三種工作方式中,八拍的性能最好,單四拍的性能最差,因此,在步進(jìn)電機(jī)的控制應(yīng)用中,選擇合適的工作方式非常重要,本文主要研究的是四相八拍工作方式。推薦精選2.4.5 步進(jìn)電機(jī)的常用術(shù)語(yǔ)齒距角:相鄰兩齒中心線間的夾角,通常定子和轉(zhuǎn)子

29、具有相同的齒距角。z =2/Z (Z 是轉(zhuǎn)子的齒數(shù))步距角:指每給一個(gè)電脈沖信號(hào)電動(dòng)機(jī)轉(zhuǎn)子所應(yīng)轉(zhuǎn)過(guò)的角度的理論值。 b=z/N = 2/NZ (N 是工作拍數(shù),Z 是轉(zhuǎn)子的齒數(shù))步距角精度:步進(jìn)電機(jī)每轉(zhuǎn)過(guò)一個(gè)步距角的實(shí)際值與理論值的誤差。用百分比表示:誤差/步距角*100%。不同運(yùn)行拍數(shù)其值不同,四拍運(yùn)行時(shí)應(yīng)在 5%之內(nèi),八拍運(yùn)行時(shí)應(yīng)在 15%以內(nèi)。失調(diào)角:指轉(zhuǎn)子偏離零位的角度。轉(zhuǎn)子齒軸線偏移定子齒軸線的角度,電機(jī)轉(zhuǎn)必存在失調(diào)角,由失調(diào)角產(chǎn)生的誤差,采用細(xì)分驅(qū)動(dòng)是不能解決的。最大空載的運(yùn)行頻率: 電機(jī)在某種驅(qū)動(dòng)形式,電壓及額定電流下,電機(jī)不帶負(fù)載的最高轉(zhuǎn)速頻率。最大空載起動(dòng)頻率: 電機(jī)在某種驅(qū)

30、動(dòng)形式、電壓及額定電流下,在不加負(fù)載的情況下,能夠直接起動(dòng)的最大頻率。 響應(yīng)頻率:在某一頻率范圍內(nèi)步進(jìn)電機(jī)可以任意運(yùn)行而不會(huì)丟失一步,則這一最大頻率稱為響應(yīng)頻率。運(yùn)行頻率:指拖動(dòng)一定負(fù)載使頻率連續(xù)上升時(shí),步進(jìn)電機(jī)能不失步運(yùn)行的極限頻率1。2.5 步進(jìn)電機(jī)的振蕩和失步步進(jìn)電機(jī)的振蕩和失步是一種普遍存在的現(xiàn)象,它影響應(yīng)用系統(tǒng)的正常運(yùn)行,因此要盡力去避免。2.5.1 振蕩步進(jìn)電機(jī)的低頻振蕩是由于電機(jī)的轉(zhuǎn)子達(dá)到穩(wěn)定平衡位置時(shí)具有多余的動(dòng)能。這種能量越大,振蕩的趨勢(shì)越利害,當(dāng)控制脈沖的頻率等于自由振蕩的頻率時(shí),就發(fā)生低頻振蕩,或稱之為低頻共振。低頻振蕩是步進(jìn)電機(jī)系統(tǒng)的固有的缺點(diǎn)。在振蕩區(qū),主要表現(xiàn)是步進(jìn)

31、電機(jī)的運(yùn)動(dòng)不平穩(wěn),振蕩加劇,噪音增大,力矩下降,嚴(yán)重的甚至失步。推薦精選減少步距角可以減少振蕩幅值,以達(dá)到削弱振蕩的目的。消除振蕩是通過(guò)增加阻尼的方法來(lái)實(shí)現(xiàn)的,主要有機(jī)械阻尼法和電子阻尼法兩大類(lèi)。其中機(jī)械阻尼法比較單一,就是在電動(dòng)機(jī)軸上加阻尼器,電子阻尼法則有多種。1. 多相勵(lì)磁法:采用多相勵(lì)磁會(huì)產(chǎn)生電磁阻尼,會(huì)削弱或消除振蕩現(xiàn)象。2. 變頻變壓法:步進(jìn)電機(jī)在在低頻時(shí)繞組中的電流上升時(shí)間長(zhǎng),轉(zhuǎn)子獲得的能量大,因此容易產(chǎn)生振蕩,在高頻時(shí)則相反。所以,可以設(shè)計(jì)一種電路,使電壓隨頻率的降低而減少,可以有效地消除振蕩。3. 細(xì)分步法:細(xì)分步法是將步進(jìn)電機(jī)繞組中的穩(wěn)定電流分成若干階段,每進(jìn)一步時(shí),電流升

32、一級(jí)。同時(shí),也相對(duì)地提高步進(jìn)頻率,使步進(jìn)過(guò)程平穩(wěn)進(jìn)行。4. 反相阻尼法:這種方法用于步進(jìn)電機(jī)制動(dòng),在步進(jìn)電機(jī)轉(zhuǎn)子要過(guò)平衡點(diǎn)之前,加一個(gè)反向作用力去平衡慣性力,使轉(zhuǎn)子到達(dá)平衡點(diǎn)時(shí)速度為零,實(shí)現(xiàn)準(zhǔn)確制動(dòng)2。2.5.2 失步電機(jī)運(yùn)轉(zhuǎn)時(shí)運(yùn)轉(zhuǎn)的步數(shù),不等于理論上的步數(shù),稱之為失步。步進(jìn)電機(jī)失步的原因有 2 種:1. 轉(zhuǎn)子的轉(zhuǎn)速慢于旋轉(zhuǎn)磁場(chǎng)的速度(或者說(shuō)慢于換相速度)。例如,步進(jìn)電機(jī)在啟動(dòng)時(shí),如果脈沖的頻率較高,由于電動(dòng)機(jī)來(lái)不及獲得足夠的能量,使其無(wú)法令轉(zhuǎn)子跟上旋轉(zhuǎn)磁場(chǎng)的速度,所以引起失步。因此,步進(jìn)電動(dòng)機(jī)有一個(gè)啟動(dòng)頻率啟動(dòng)時(shí),肯定會(huì)產(chǎn)生失步。注意,啟動(dòng)頻率不是一個(gè)固定值,提高電動(dòng)機(jī)的轉(zhuǎn)矩、減少負(fù)載轉(zhuǎn)動(dòng)慣

33、量、減少步距角都可以提高步進(jìn)電機(jī)的啟動(dòng)頻率。2. 轉(zhuǎn)子的平均速度大于旋轉(zhuǎn)磁場(chǎng)的速度。這主要發(fā)生在制動(dòng)和突然換向時(shí),轉(zhuǎn)子獲得過(guò)多的能量,產(chǎn)生嚴(yán)重的過(guò)沖,引起失步。推薦精選第 3 章 硬件電路設(shè)計(jì)3.1 步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)步進(jìn)電機(jī)不能直接接到交直流電源上工作,而必須使用專(zhuān)用設(shè)備步進(jìn)電機(jī)驅(qū)動(dòng)器.步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)的性能,除與電機(jī)本身的性能有關(guān)外,也在很大程度上取決于驅(qū)動(dòng)器的優(yōu)劣。典型的步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)是由步進(jìn)電機(jī)控制器、步進(jìn)電機(jī)驅(qū)動(dòng)器和步進(jìn)電機(jī)本體三部分組成。步進(jìn)電機(jī)控制器發(fā)出步進(jìn)脈沖和方向信號(hào),每發(fā)一個(gè)脈沖,步進(jìn)電機(jī)驅(qū)動(dòng)器驅(qū)動(dòng)步進(jìn)電機(jī)轉(zhuǎn)子旋轉(zhuǎn)一個(gè)步距角,即步進(jìn)一步。步進(jìn)電機(jī)轉(zhuǎn)速的高低、升速或降速、啟動(dòng)

34、或停止都完全取決于脈沖的有無(wú)或頻率的高低??刂破鞯姆较蛐盘?hào)決定步進(jìn)電機(jī)的順時(shí)針或逆時(shí)針旋轉(zhuǎn)。通常,步進(jìn)電機(jī)驅(qū)動(dòng)器由邏輯控制電路、功率驅(qū)動(dòng)電路、保護(hù)電路和電源組成。步進(jìn)電機(jī)驅(qū)動(dòng)器一旦接收到來(lái)自控制器的方向信號(hào)和步進(jìn)脈沖,控制電路就按預(yù)先設(shè)定的電機(jī)通電方式產(chǎn)生步進(jìn)電機(jī)各相勵(lì)磁繞組導(dǎo)通或截止信號(hào)??刂齐娐份敵龅男盘?hào)功率很低,不能提供步進(jìn)電機(jī)所需的輸出功率,必須進(jìn)行功率放大,這就是步進(jìn)電機(jī)驅(qū)動(dòng)器的功率驅(qū)動(dòng)部分8。3.2 控制模塊本設(shè)計(jì)采用 SST89E516RD 單片機(jī)作為控制系統(tǒng)的核心。SST89E516RD 單片機(jī)組成結(jié)構(gòu)中包含運(yùn)算器、控制器、片內(nèi)存儲(chǔ)器、4 個(gè) I/O 口、串行口、定時(shí)器/計(jì)數(shù)器

35、、中斷系統(tǒng)、振蕩器等功能部件。采用 HMOS 制造工藝的 MCS-51 單片機(jī)都采用 40 管腳雙列直插式封裝,除采用40 腳雙列式直插式封裝外,還有用方形的封裝方式。40 管腳雙列直插式封裝管腳圖如 3-2 圖所示。脈沖信號(hào)由單片機(jī)產(chǎn)生,一般脈沖信號(hào)的占空比為 0.3-0.4 左右,電機(jī)轉(zhuǎn)速越高,占空比則越大。信號(hào)分配實(shí)際上就是按照某一種控制方式(根據(jù)需要進(jìn)行選定)所規(guī)定的順序發(fā)送脈沖序列,達(dá)到控制步進(jìn)電機(jī)方向的目的9。推薦精選圖 3-2 MCS-51 系列單片機(jī)管腳圖根據(jù)要求,所設(shè)計(jì)的步進(jìn)電機(jī)八拍通電順序?yàn)?AABBBCCCDDDA。步進(jìn)電機(jī)的方向控制方法是:用單片機(jī)輸出接口的每一位控制一

36、根相繞組。本設(shè)計(jì)中,用P0.0,P0.1,P0.2,P0.3 分別接至步進(jìn)電機(jī)的 A,B,C,D 四相繞組。3.3 驅(qū)動(dòng)模塊ULN2003 是高耐壓、大電流、內(nèi)部由七個(gè)硅 NPN 達(dá)林頓管組成的驅(qū)動(dòng)芯片,如圖 3-3 所示。經(jīng)常在以下電路中使用,作為:顯示驅(qū)動(dòng)、繼電器驅(qū)動(dòng)、照明燈驅(qū)動(dòng)、電磁閥驅(qū)動(dòng)、伺服電機(jī)、步進(jìn)電機(jī)驅(qū)動(dòng)等電路中。 1B12B23B34B45B56B67B7COM97C106C115C124C133C142C151C16GND8圖 3-3 ULN2003A 芯片內(nèi)部結(jié)構(gòu)及引腳圖推薦精選驅(qū)動(dòng)模塊直接采用 ULN2003 芯片,如圖 3-5 所示。由單片機(jī)產(chǎn)生的脈沖序列和方向控制信號(hào)

37、從 P1.0P1.3 口輸出,直接送入 ULN2003 芯片進(jìn)行功率放大,達(dá)到步進(jìn)電機(jī)所需的驅(qū)動(dòng)電流和電壓,以此驅(qū)動(dòng)步進(jìn)電機(jī)工作。3.4 顯示模塊顯示模塊采用六位 LED 六段共陰數(shù)碼管進(jìn)行動(dòng)態(tài)顯示,如圖 3-3 所示。由SST89E516RD 單片機(jī)產(chǎn)生的段選信號(hào)從 P0 輸出,經(jīng)過(guò) 1K 左右的上拉排阻驅(qū)動(dòng)數(shù)碼管顯示,位選信號(hào)從 P2 口輸出通過(guò) 74HC245 直接送數(shù)碼管顯示。采用數(shù)碼管動(dòng)態(tài)顯示方式,硬件電路簡(jiǎn)單、編程簡(jiǎn)便、顯示信息清晰5。圖 3-3 顯示模塊硬件電路圖3.5 按鍵模塊人機(jī)交互模塊采用獨(dú)立式按鍵,中斷工作方式。總共設(shè)置了 4 個(gè)按鍵,如圖 3-4 所示,其中四個(gè)控制按鍵

38、組合執(zhí)行對(duì)步進(jìn)電機(jī)的啟動(dòng)停止、正轉(zhuǎn)反轉(zhuǎn)、加速減速四種控制功能,由單片機(jī)的 P3.2P3.5 口輸入。每個(gè)按鍵操作有長(zhǎng)按短按操作方式,K1 短按為啟動(dòng)停止,長(zhǎng)按進(jìn)入模式設(shè)置;K2 短按為方向設(shè)置,長(zhǎng)按使運(yùn)行模式在 1與 2 之間切換;K3 為數(shù)值加一,K4 位向右移動(dòng)一位數(shù)碼管。推薦精選a1b2c3d4e5f6g7dp8com9com10com11com12com13com14U2DIG6123456789RP1RESPACK-8P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78RST9P3.0/RXD10P3.1/TXD11P3.2/INT012P3.3/INT1

39、13P3.4/T014P3.5/T115P3.6/WR16P3.7/RD17P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427P2.7/A1528PSEN29ALE30P0.7/AD732P0.6/AD633P0.5/AD534P0.4/AD435P0.3/AD336P0.2/AD237P0.1/AD138P0.0/AD039GND20EA31VCC40XTAL218XTAL119U18051P0.0P0.1P0.2P0.3P0.4P0.5P0.6P0.7P0.0P0.2P0.1P0.3P0.4P0.5P0.

40、6P0.7VCCK1K2K3K4GNDDIR1A02A13A24A35A46A57A68VCC20OE19B018B117B216B315B414B513A79GND10B612B711U374HC245VCCGNDP2.0P2.1P2.2P2.3P2.4P2.5P2.0P2.1P2.2P2.3P2.4P2.56位位位位123P1GNDVCCHeader1GNDVCC位位X2X1P1.0P1.1P1.2P1.3RST圖 3-4 按鍵部分電路3.6 步進(jìn)電機(jī)部分該設(shè)計(jì)中所用到的步進(jìn)電機(jī)為四相六線步進(jìn)電機(jī)。1B12B23B34B45B56B67B7COM97C106C115C124C133C142

41、C151C16B8U1ULN20031KR11KR21KR31KR4D0com1B2A3com4C5MMotor Step1234P1P1.0P1.1P1.2P1.3HeaderGNDVCC位位位位位位位位位位位位位位位位VCC0GND15V圖 3-5 步進(jìn)電機(jī)部分硬件電路圖本設(shè)計(jì)中步進(jìn)電機(jī)的參數(shù):步進(jìn)電機(jī)型號(hào)為 PM25L-024-STB6,工作方式為四相八拍。電機(jī)是種將電脈沖轉(zhuǎn)化為角位移的執(zhí)行機(jī)構(gòu)。當(dāng)步進(jìn)電機(jī)接收到一個(gè)脈沖信號(hào),它就按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(稱為“步距角”)??梢酝ㄟ^(guò)控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過(guò)控制脈沖頻率實(shí)現(xiàn)步進(jìn)電機(jī)的調(diào)速10。

42、市面上一般的步進(jìn)電機(jī)內(nèi)部結(jié)構(gòu)圖如圖 3-6 所示。推薦精選D0com1B2A3com4C5M位位位位位位位位位位位位圖 3-6 本設(shè)計(jì)步進(jìn)電機(jī)的接線本設(shè)計(jì)采用的步進(jìn)電機(jī)是四相六線步進(jìn)電機(jī),因生產(chǎn)廠家不同,其接線也有所不同。電機(jī)共引出四根線,其余兩根線是公共端,經(jīng)測(cè)量后可得到其正確的接線順序,表 3-1 給出了電機(jī)所對(duì)應(yīng)的相序。表3-1 步進(jìn)電機(jī)控制線紅色紅色橙色棕色黃色黑色公共端公共端ABCD其中,A 與 C 是電機(jī)內(nèi)部一組線圈的兩個(gè)抽頭,D 與 B 是另一組線圈的兩個(gè)抽頭。只需以一定的順序向步進(jìn)電機(jī)四相時(shí)序供電即可使步進(jìn)電機(jī)按指定方向轉(zhuǎn)動(dòng)。35 步進(jìn)電機(jī)的主要技術(shù)參數(shù)如下表 3-2 所示。表

43、3-2 35步進(jìn)電機(jī)技術(shù)參數(shù)電壓相電阻步距角啟動(dòng)轉(zhuǎn)矩(g.cm)啟動(dòng)頻率(P.P.S)定位轉(zhuǎn)矩(g.cm)5V647.512020048推薦精選第 4 章 軟件設(shè)計(jì)4.1 程序流程圖下面系統(tǒng)程序總流程圖。圖 4-1 系統(tǒng)程序流程圖通過(guò)流程圖分析可以看出,實(shí)現(xiàn)系統(tǒng)功能可以采用多種方法,由于隨時(shí)有可能輸入加速、加速信號(hào)和方向信號(hào),因而采用中斷方式效率最高,這樣總共要完成 4推薦精選個(gè)部分的工作才能滿足課題要求,即主程序部分、定時(shí)器中斷部分、外部中斷 0 和外部中斷 1 部分,其中主程序的主要功能是系統(tǒng)初始參數(shù)的設(shè)置及啟動(dòng)開(kāi)關(guān)的檢測(cè),若啟動(dòng)開(kāi)關(guān)合上則系統(tǒng)開(kāi)始工作,反之系統(tǒng)停止工作;定時(shí)器部分控制脈沖

44、頻率,它決定了步進(jìn)電機(jī)轉(zhuǎn)速的快慢;兩個(gè)外部中斷程序要做的工作都是為了完成改變速度這一功能。推薦精選4.2 系統(tǒng)主程序主程序中要完成的工作主要有系統(tǒng)初始值的設(shè)置、系統(tǒng)狀態(tài)的顯示以及各種開(kāi)關(guān)狀態(tài)的檢測(cè)判斷等。其中系統(tǒng)初始狀態(tài)的設(shè)置內(nèi)容較多,該系統(tǒng)中,需要初始化定時(shí)器、外部中斷;對(duì) P1 口送初值以決定脈沖分配方式,速度值存儲(chǔ)區(qū)送初值決定步進(jìn)電機(jī)的啟動(dòng)速度,對(duì)方向值存儲(chǔ)區(qū)送初值決定步進(jìn)電機(jī)旋轉(zhuǎn)方向等內(nèi)容。若初始化 P1=11H、速度和方向初始值均設(shè)為 0,就意味著步進(jìn)電機(jī)按四相單八拍運(yùn)行,系統(tǒng)上電后在沒(méi)有操作的情況下,步進(jìn)電機(jī)不旋轉(zhuǎn),方向值顯示“0” ,速度值顯示“0” ,主程序流程圖如圖 4-2

45、所示6。初始化開(kāi)始顯示開(kāi)關(guān)啟動(dòng)?停止計(jì)數(shù)器速度為1?停止計(jì)數(shù)器啟動(dòng)計(jì)數(shù)器延時(shí)結(jié)束N N Y Y 圖 4-2 主程序流程圖推薦精選4.3 定時(shí)中斷設(shè)計(jì)進(jìn)電機(jī)的轉(zhuǎn)動(dòng)主要是給電機(jī)各繞組按一定的時(shí)間間隔連續(xù)不斷地按規(guī)律通入電流,步進(jìn)電機(jī)才會(huì)旋轉(zhuǎn),時(shí)間間隔越短,速度就越快。在這個(gè)系統(tǒng)中,這個(gè)時(shí)間間隔是用定時(shí)器重復(fù)中斷一定次數(shù)產(chǎn)生的,即調(diào)節(jié)時(shí)間間隔就是調(diào)節(jié)定時(shí)器的中斷次數(shù),因而在定時(shí)器中斷程序中,要做的工作主要是判斷電機(jī)的運(yùn)行方向、發(fā)下一個(gè)脈沖,以及保存當(dāng)前的各種狀態(tài)。程序流程圖如圖 4-3 所示7。進(jìn)入中斷保護(hù)現(xiàn)場(chǎng)讀方向指示中斷次數(shù)等于0?發(fā)送速度脈沖重送相關(guān)狀態(tài)恢復(fù)現(xiàn)場(chǎng)退出中斷NY圖 4-3 定時(shí)中斷

46、設(shè)計(jì)4.4 外部中斷設(shè)計(jì)外部中斷所要完成的工作是根據(jù)按鍵次數(shù),改變速度值存儲(chǔ)區(qū)中的數(shù)據(jù)(該數(shù)據(jù)為定時(shí)器的中斷次數(shù)) ,這樣就改變了步進(jìn)電機(jī)的輸出脈沖頻率,也就是改變了電機(jī)的轉(zhuǎn)速。速度增加按鈕 K3 為 INT0 中斷,其程序流程為原數(shù)據(jù),當(dāng)值等于 9 時(shí),不改變?cè)瓟?shù)值返回,小于 9 時(shí),數(shù)據(jù)加 1 后返回;速度減少按鈕 K4,當(dāng)原數(shù)據(jù)不為1,減 1 保存數(shù)據(jù),原數(shù)據(jù)為 1 則保持不變。程序流程圖如圖 4-4 所示11。推薦精選進(jìn)入中斷保護(hù)現(xiàn)場(chǎng)延時(shí)去抖速度=上或下限值?速度值加1是否按下按鍵?保護(hù)現(xiàn)場(chǎng)退出中斷YNYN圖 4-4 外部中斷設(shè)計(jì)推薦精選第 5 章 PCB 板設(shè)計(jì)及硬件調(diào)試5.1 Al

47、tium Designer 簡(jiǎn)介Protel 系列軟件是當(dāng)前在國(guó)內(nèi)應(yīng)用最為廣泛的 EDA 設(shè)計(jì)工具之一。本設(shè)計(jì)使用的是 Altium Designer 6.4,它在單一設(shè)計(jì)環(huán)境中集成板級(jí)和 FPGA 系統(tǒng)設(shè)計(jì)、基于FPGA 和分立處理器的嵌入式軟件開(kāi)發(fā)。在原理圖繪制、PCB 版圖設(shè)計(jì),編輯和制造,可編程邏輯器件(PLD)設(shè)計(jì)、仿真、板卡設(shè)計(jì)和自動(dòng)布線有著諸多的優(yōu)點(diǎn):1. 支持不依賴于 FPGA 廠商即各個(gè)廠商通用的數(shù)字系統(tǒng)開(kāi)發(fā)。2. 豐富的原理圖庫(kù),有大量的預(yù)綜合元件。3. 在工程的設(shè)計(jì)和調(diào)試階段都支持原理圖導(dǎo)向設(shè)計(jì)方法。4. 豐富的虛擬儀器。5. 交互式布線,系統(tǒng)將動(dòng)態(tài)顯示最優(yōu)布線路徑,只需

48、要敲擊鍵盤(pán)就可以完成單個(gè)網(wǎng)絡(luò)的布線。5.2 PCB 制作過(guò)程5.2.1 原理圖繪制 PCB 制作的第一步,就是繪制原理圖,根據(jù)設(shè)計(jì)要求,建立 PCB 工程,并在 PCB工程下建立原理圖以及 PCB(P) ,同時(shí)應(yīng)該新建集成庫(kù)工程,并在集成庫(kù)下新建原理圖庫(kù)以及 PCB 元件庫(kù)。在原理圖繪制過(guò)程中,可選擇庫(kù)中已存在的元件,對(duì)于沒(méi)有的元件,應(yīng)參照相關(guān)元件的資料,在原理圖庫(kù)中新建元件,原理圖庫(kù)里面可新建多個(gè)元件。原理圖中的線應(yīng)選擇正確的電線,如果電線太多過(guò)于復(fù)雜可以選擇使用網(wǎng)絡(luò)標(biāo)號(hào),網(wǎng)絡(luò)標(biāo)號(hào)名稱相同的是連通的,同時(shí)因標(biāo)注好對(duì)應(yīng)元件值的大小。5.2.2 元件封裝對(duì)于已經(jīng)繪制好的原理圖,下一步就是對(duì)元件進(jìn)

49、行封裝,雙擊元件添加Footprint, “PCB 庫(kù)”選擇任意。單擊“瀏覽” ,選擇相應(yīng)的庫(kù),找到相應(yīng)元件的封裝,或者單擊“發(fā)現(xiàn)” ,輸入封裝的名稱查找。如果庫(kù)里面沒(méi)有相應(yīng)的元件的封裝,則必須在集成庫(kù)下的 PCB 元件庫(kù)里面,根據(jù)芯片(元件)的資料,新建封裝。做好推薦精選新封裝,可以在原理圖庫(kù)里面將新建元件關(guān)聯(lián)起來(lái),然后編譯。在右方就會(huì)生成自己新建的庫(kù)。推薦精選5.2.3 規(guī)劃電路板在繪制印刷電路板之前,我們必須對(duì)所用的電路板進(jìn)行初步的規(guī)劃。比如是采用單面板、雙面板還是多層電路板,電路板需要多大的尺寸,采用什么樣的連接器,元件采用什么樣的封裝形式,是雙列直插(DIP)還是其他形式,元件的安裝

50、位置等等。千萬(wàn)不要小看這步工作,它直接影響到后續(xù)工作的進(jìn)行。如果在這里出現(xiàn)問(wèn)題,很可能會(huì)對(duì)后面的工作造成很大的麻煩,甚至使設(shè)計(jì)工作無(wú)法繼續(xù)進(jìn)行。本設(shè)計(jì)芯片封裝均采用 DIP 封裝,電路板的尺寸控制在 10*10cm 以下,雕刻的是單面板。5.2.4 電路板(PCB)編輯器首先根據(jù)已經(jīng)封裝好的原理圖,生成 PCB 板,步驟是:設(shè)計(jì)Update PCB Docment執(zhí)行更改進(jìn)入印刷電路板(PCB)編輯器的編輯環(huán)境。啟動(dòng)編輯器后,用戶對(duì)元件的布置參數(shù),板層參數(shù)、布線參數(shù)等進(jìn)行相應(yīng)的設(shè)置。其中有些參數(shù)可以直接采用系統(tǒng)的缺省值,有些參數(shù)必須根據(jù)設(shè)計(jì)要求進(jìn)行修改,而有些參數(shù)可以根據(jù)自己的習(xí)慣進(jìn)行設(shè)置。總

51、之,參數(shù)的設(shè)定是在滿足設(shè)計(jì)要求的前提下盡量符合個(gè)人習(xí)慣,所以因人而異。作圖環(huán)境設(shè)置:設(shè)計(jì)規(guī)則,線寬約束為 15-30mil,大小在 10*10cm 以內(nèi)。5.2.5 布置元件在設(shè)定好電路板的尺寸和外形并裝入網(wǎng)絡(luò)表后,程序會(huì)自動(dòng)裝入元件,并自動(dòng)將元件布置在電路板的邊界內(nèi)。盡管程序可以自動(dòng)根據(jù)電路板的外形尺寸布置各個(gè)元件的位置,但是畢竟不可能完全滿足設(shè)計(jì)的要求,因此用戶還要對(duì)元件的位置進(jìn)行手工調(diào)整,以便順利地進(jìn)行下面的布線工作。5.2.7 自動(dòng)布線與手工調(diào)整Altium Designer 6.4 的自動(dòng)布線功能十分強(qiáng)大,只要各種參數(shù)設(shè)置合理、元件的位置得當(dāng),自動(dòng)布線的成功率幾乎是 100%。但是,

52、由于算法的限制以及用戶的特殊要求或習(xí)慣,自動(dòng)布線往往也有許多不盡人意的地方,我們還必須靠手工進(jìn)行調(diào)整。手工布線中有很多至關(guān)重要的竅門(mén),需要在操作過(guò)程中體會(huì)摸索,真正掌握推薦精選了手工布線的技巧才算是真正學(xué)會(huì)了印刷電路板設(shè)計(jì)。推薦精選5.2.8 PCB 文件的保存完成印刷電路板的布線工作后,我們應(yīng)該及時(shí)地將文件進(jìn)行存盤(pán)及打印輸出,以備以后雕刻電路板使用。PCB 板雕刻可以在學(xué)校雕刻,或者找廠家預(yù)定生產(chǎn)12。5.3 本設(shè)計(jì)的 PCB本設(shè)計(jì)電路板采用單層。為了使布線盡可能短,元件布局按信號(hào)流程布局。PCB板上的元器件放置的順序?yàn)椋?. 放置與結(jié)構(gòu)有緊密配合的固定位置的元器件,如電源插座、開(kāi)關(guān)、連接件

53、等,這些器件放置好后用軟件的 LOCK 功能將其鎖定,使之以后不會(huì)被誤移動(dòng);2. 放置器件;3. 在設(shè)計(jì) PCB 板時(shí),元件的放置要考慮電路中的抗干擾能力。例如,在內(nèi)部時(shí)鐘方式的電路部分,晶體或陶瓷振蕩器和電容盡可能安裝得與單片機(jī)靠近,以減少寄生電容,更好的保證振蕩器穩(wěn)定和可靠地工作。最終完成的 PCB 如圖 5-1 所示。圖 5-1 PCB 圖推薦精選5.4 硬件電路調(diào)試以上為本作品的設(shè)計(jì)過(guò)程和結(jié)果的詳細(xì)介紹,但是,從本質(zhì)上來(lái)講,都是純理論的設(shè)計(jì)和分析。為了驗(yàn)證作品的可行性,我還做了硬件電路,來(lái)對(duì)設(shè)計(jì)作品中設(shè)計(jì)方案的主要功能及其理論進(jìn)行實(shí)際驗(yàn)證,如圖 4-4 所示。同時(shí),在軟硬件電路的調(diào)試過(guò)

54、程,也可以發(fā)現(xiàn)本設(shè)計(jì)作品中有沒(méi)有一些不足和錯(cuò)誤的地方。當(dāng)硬件設(shè)計(jì)從布線到安裝完成之后,就開(kāi)始進(jìn)入硬件調(diào)試階段,調(diào)試大體分為以下幾步。1. 排除邏輯故障; 2. 排除電源故障; 3. 排除元器件失效; 4. 脫機(jī)調(diào)試;5. 接入單片機(jī)調(diào)試;圖 4-4 硬件電路調(diào)試推薦精選結(jié) 論本系統(tǒng)主要研究了一種基于單片機(jī)的步進(jìn)電機(jī)控制及驅(qū)動(dòng)的電路設(shè)計(jì)。設(shè)計(jì)采用 SST89E516RD 單片機(jī)作為控制模塊的核心,利用單片機(jī)編程實(shí)現(xiàn)了對(duì)步進(jìn)電機(jī)的控制。由單片機(jī)產(chǎn)生的信號(hào)經(jīng) ULN2003A 芯片進(jìn)行功率放大,驅(qū)動(dòng)步進(jìn)電機(jī)工作,同時(shí)由數(shù)碼管同步顯示預(yù)置數(shù)和所轉(zhuǎn)圈數(shù),由相應(yīng)的按鍵實(shí)現(xiàn)預(yù)置圈數(shù)、控制、清零功能。系統(tǒng)能夠

55、實(shí)現(xiàn):1. 預(yù)設(shè)步進(jìn)電機(jī)所轉(zhuǎn)圈數(shù);2. 啟動(dòng)停止、正轉(zhuǎn)反轉(zhuǎn)、加速減速等功能的基本控制;3. 同步顯示圈數(shù);4. 電機(jī)轉(zhuǎn)至預(yù)置圈數(shù)內(nèi)循環(huán)轉(zhuǎn)動(dòng);5. 對(duì)預(yù)置數(shù)進(jìn)行清零操作。6. 狀態(tài)指示燈顯示在系統(tǒng)設(shè)計(jì)過(guò)程中,力求硬件電路簡(jiǎn)單,充分發(fā)揮軟件部分的優(yōu)勢(shì),編程靈活方便來(lái)滿足系統(tǒng)的要求。通過(guò)實(shí)際測(cè)試表明本設(shè)計(jì)系統(tǒng)的性能優(yōu)于傳統(tǒng)步進(jìn)電機(jī)控制器,具有結(jié)構(gòu)簡(jiǎn)單、可靠性高、實(shí)用性強(qiáng)、人機(jī)接口簡(jiǎn)單方便、性價(jià)比高等特點(diǎn)。本設(shè)計(jì)作品,就是單片機(jī)在智能化儀表方面的具體應(yīng)用,充分體現(xiàn)了單片機(jī)的優(yōu)越之處。本論文,從硬件設(shè)計(jì)到軟件設(shè)計(jì),根據(jù)硬件的工作原理及設(shè)計(jì)原理,對(duì)設(shè)計(jì)的設(shè)計(jì)方案做了仔細(xì)的分析和比較,最后確定下來(lái)完整可行的

56、解決方案。為了驗(yàn)證設(shè)計(jì)出的系統(tǒng)的功能可靠性和方案的可行性,我還制作了硬件電路。硬件電路是為了驗(yàn)證方案原理而設(shè)計(jì)的,在調(diào)試過(guò)程中,也得到了令人滿意的效果,很好的驗(yàn)證了設(shè)計(jì)方案的可行性。推薦精選致 謝大學(xué)四年即將隨著畢業(yè)設(shè)計(jì)的全部完成而落幕。歲月如梭,四年中,雖然自己的專(zhuān)業(yè)技能不夠好,但是自己的學(xué)習(xí)能力得到了提高。畢業(yè)設(shè)計(jì)是對(duì)知識(shí)的豐富,是對(duì)所學(xué)知識(shí)鞏固和靈活運(yùn)用的考驗(yàn),是對(duì)自學(xué)能力的考驗(yàn)。本次設(shè)計(jì)的完成除了因?yàn)槲易约翰殚喠舜罅康馁Y料,總結(jié)并鞏固了專(zhuān)業(yè)知識(shí),用心做好設(shè)計(jì)外,還因?yàn)榈玫搅死蠋熀屯瑢W(xué)的極力幫助,讓我明白了團(tuán)結(jié)合作的力量是無(wú)窮的。在此,我要由衷的感謝我的指導(dǎo)老師,朱云芳、翟旭老師,是在他

57、孜孜不倦和耐心細(xì)心的指導(dǎo)幫助下,我才得以順利地完成設(shè)計(jì)。我還要感謝很有經(jīng)驗(yàn)的杜海洋同學(xué)的大力支持和幫助。沒(méi)有老師和這些同學(xué)一直以來(lái)的幫助,我不可能很圓滿地完成設(shè)計(jì)。同時(shí),我還要衷心感謝大學(xué)中所有教過(guò)我的老師,感謝他們將知識(shí)毫無(wú)保留的傳授,感謝他們大學(xué)四年對(duì)我的敦敦教誨和關(guān)心及對(duì)我各方面的幫助,感謝陪伴我走過(guò)這難舍難忘四年時(shí)光的所有同窗,感謝你們的關(guān)心與幫助!最后,我要感謝我的父母,感謝你們這么多年對(duì)我含辛茹苦地養(yǎng)育和培養(yǎng)。推薦精選參考文獻(xiàn)1 劉寶廷.步進(jìn)電動(dòng)機(jī)及其驅(qū)動(dòng)控制系統(tǒng).哈爾濱工業(yè)大學(xué)出版社,1997:12002 丁志剛.直線步進(jìn)電動(dòng)機(jī)的原理控制和應(yīng)用.馬九榮,機(jī)械工業(yè)出版社,1994:

58、20903 蔡耀成.步進(jìn)電機(jī)近期國(guó)內(nèi)外發(fā)展展望.微特電機(jī).2005 年,第 5 期:134 王曉明.電動(dòng)機(jī)的單片機(jī)控制.北京航空航天大學(xué)出版社,2002 年:501505 靳桅.單片機(jī)原理及 C51 開(kāi)發(fā)技術(shù).西南交通大學(xué)出版社,2009:932256 楊寧,胡學(xué)軍等.單片機(jī)與控制技術(shù).北京航空航天大學(xué)出版社,2005:221757 馬忠梅.單片機(jī)的C語(yǔ)言應(yīng)用程序設(shè)計(jì)第三版.北京航空航天大學(xué)出版社,2011:34728 譚建成.新編電機(jī)控制專(zhuān)用集成電路與應(yīng)用機(jī)械工業(yè)出版社2005:15979 王福瑞等.單片機(jī)微機(jī)測(cè)控系統(tǒng)設(shè)計(jì)大全.北京航空航天大學(xué)出版社.1998:678510 陳隆昌等.控制電

59、機(jī).第三版.西安電子科技大學(xué)出版社.2000:356511 靳桅,胡桂珍等.C 語(yǔ)言設(shè)計(jì).西南交通大學(xué)出版社,2000:219012 江思敏等ALTIUM DESIGNER.機(jī)械工業(yè)出版社2009年:1597推薦精選附錄 1a1b2c3d4e5f6g7dp8com9com10com11com12com13com14U2DIG612X112MHZ33pC1Cap33pC3CapGNDRST110uFC2Cap1KR1GNDVCCRSTX1X2位位位位位123456789RP1RESPACK-8P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78RST9P3.0/RXD

60、10P3.1/TXD11P3.2/INT012P3.3/INT113P3.4/T014P3.5/T115P3.6/WR16P3.7/RD17P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427P2.7/A1528PSEN29ALE30P0.7/AD732P0.6/AD633P0.5/AD534P0.4/AD435P0.3/AD336P0.2/AD237P0.1/AD138P0.0/AD039GND20EA31VCC40XTAL218XTAL119U18051P0.0P0.1P0.2P0.3P0.4P0.5P0.

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論