基于AT89C51單片機(jī)的智能風(fēng)扇控制系統(tǒng)設(shè)計(jì)_第1頁
基于AT89C51單片機(jī)的智能風(fēng)扇控制系統(tǒng)設(shè)計(jì)_第2頁
基于AT89C51單片機(jī)的智能風(fēng)扇控制系統(tǒng)設(shè)計(jì)_第3頁
基于AT89C51單片機(jī)的智能風(fēng)扇控制系統(tǒng)設(shè)計(jì)_第4頁
基于AT89C51單片機(jī)的智能風(fēng)扇控制系統(tǒng)設(shè)計(jì)_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 單位代碼 01 學(xué) 號 090102060 分 類 號 密 級 畢業(yè)論文 基于 at89c51 單片機(jī)的智能風(fēng)扇控制系統(tǒng) 院(系)名稱信息工程學(xué)院 專業(yè)名稱電子信息工程 學(xué)生姓名 指導(dǎo)教師 2013 年 5 月 15 日 摘摘 要要 隨著現(xiàn)代信息技術(shù)的飛速發(fā)展,溫度測量控制系統(tǒng)在工業(yè)、農(nóng)業(yè)及人們的日常生 活中扮演著一個(gè)越來越重要的角色,它對人們的生活具有很大的影響,所以溫度采集 控制系統(tǒng)的設(shè)計(jì)與研究有十分重要的意義。 近年來,電風(fēng)扇增設(shè)了各種新功能,既彰顯了個(gè)性,也在無形中提高了檔次。電 風(fēng)扇起停的自動(dòng)控制,能夠解決夏天人們晚上熟睡時(shí),由于夜里溫度下降而導(dǎo)致受涼, 或者從睡夢中醒來親自開關(guān)電

2、風(fēng)扇的問題,具有重要的現(xiàn)實(shí)意義。從此目的出發(fā),本 文介紹了一種基于 at89c51 單片機(jī)的電風(fēng)扇智能調(diào)速器的設(shè)計(jì),該設(shè)計(jì)以 at89c51 控制器為核心,巧妙利用溫度傳感器電路,及時(shí)而準(zhǔn)確的采集環(huán)境溫度,利用雙向晶 閘管對電機(jī)進(jìn)行無級調(diào)速,把智能控制技術(shù)用于家用電器的控制中,用人體周圍的環(huán) 境溫度對風(fēng)扇進(jìn)行溫控。 關(guān)鍵字:電風(fēng)扇,單片機(jī),溫度傳感器,數(shù)碼管 smart fan control system based on single chip microcomputer abstract with the rapid development of modern information t

3、echnology, temperature measuring control system play an increasingly important role in industry, agriculture and peoples daily life. it has a great influence on peoples lives, so the design of the control system of temperature acquisition and research has the very vital significance. in recent years

4、, electric fans added lots of new features . it doesnt reveal the individual character, but in virtually it also improves the level. the automatic control of fans start and stop can solve the problem which when people are sleeping at night in summer, due to temperature drop and lead to catch cold at

5、 night, or waking from sleep to switch electric fan, so it has important practical significance . therefore, the paper introduces the design of electric fan intelligent governor based on at89c51 . the design uses at89c51 controller as the core, skillfully using temperature sensor circuit timely and

6、accurately to collect environment temperature, and using the two-way thyristor to stepless speed regulation of motor. the intelligent control technology is used in home appliances control, and uses the human body environment temperature to realize the control of temperature of fan. key words: fan,si

7、ngle chip microcomputer , the temperature sensor ,nixietube 目目 錄錄 1 緒論.1 2 芯片介紹.2 2.1 at89c51 單片機(jī)介紹.2 2.2 溫度傳感器 ds18b20 介紹.5 3 硬件電路及系統(tǒng)原理分析.8 3.1 系統(tǒng)總體設(shè)計(jì).8 3.2 功能描述.8 3.3 單片機(jī)最小系統(tǒng)電路.10 3.4 鍵盤控制電路.11 3.5 數(shù)碼管顯示電路.12 3.6 電機(jī)調(diào)速電路.13 3.6.1 電機(jī)調(diào)速原理 .13 3.6.2 電機(jī)控制模塊設(shè)計(jì) .14 3.7 報(bào)警電路.15 4 控制器軟件設(shè)計(jì).16 4.1 主程序.16 4.2

8、 溫度傳感器模塊.17 4.3 電機(jī)調(diào)速與控制子模塊.18 5 仿真結(jié)果.20 結(jié)論.23 致謝.24 參考文獻(xiàn).25 附錄.26 1 緒 論 隨著空調(diào)在日常生活中的普遍應(yīng)用,很容易想到電風(fēng)扇會(huì)成為空調(diào)的社會(huì)淘汰品, 其實(shí)經(jīng)過市場的考驗(yàn)和證實(shí),事實(shí)并非如此。雖然空調(diào)產(chǎn)品仍然具有很強(qiáng)大的生命力, 但是電風(fēng)扇在市場的驗(yàn)證下也顯示著它的市場優(yōu)勢,并且其銷售在不停的復(fù)蘇中具有 強(qiáng)大的發(fā)展空間。據(jù)市場調(diào)查,電風(fēng)扇的不停復(fù)蘇主要在于以下三個(gè)方面:一是電風(fēng) 扇雖然沒有空調(diào)機(jī)的強(qiáng)大的制冷功能,但電風(fēng)扇是直接取風(fēng),風(fēng)力更加溫和,比較適 合老年人、兒童以及體質(zhì)虛弱的人使用1。二是電風(fēng)扇經(jīng)過多年的市場使用,較符合人

9、 們的使用習(xí)慣,而且結(jié)構(gòu)簡單、操作方便、安裝簡易。三是電風(fēng)扇比起空調(diào)產(chǎn)品而言, 其價(jià)格低廉,相對省電,更容易進(jìn)入老百姓的家庭。 隨著人們生活水平及科技水平的不斷提高,現(xiàn)在家用電器在款式、功能等方面日 益求精,并朝著健康、安全、多功能、節(jié)能等方向發(fā)展。過去的電器不斷的顯露出其 不足之處,電風(fēng)扇作為家用電器的一種,同樣存在類似的問題。傳統(tǒng)的電風(fēng)扇較為突 出的缺點(diǎn)是:一是風(fēng)扇的風(fēng)力大小不能根據(jù)溫度的變化自動(dòng)的調(diào)節(jié)風(fēng)速,對于那些晝 夜溫差比較大的地區(qū),這個(gè)自動(dòng)調(diào)節(jié)風(fēng)速就顯得優(yōu)其的重要了,特別是人們在熟睡時(shí) 常常沒有覺察到夜間是溫度變化,那樣既浪費(fèi)電資源又容易引起感冒;二是傳統(tǒng)的風(fēng) 扇是用機(jī)械式的定時(shí)方

10、式,機(jī)械式的定時(shí)方式常常會(huì)伴隨著很大的機(jī)械運(yùn)動(dòng)的聲音, 特別是在夜間影響人們的睡眠質(zhì)量,機(jī)械定時(shí)也有一定的局限性,定時(shí)范圍有限;三 是傳統(tǒng)的電風(fēng)扇沒有遠(yuǎn)程遙控控制電風(fēng)扇的功能,對平時(shí)需要調(diào)節(jié)風(fēng)扇風(fēng)速,而又不 想走近風(fēng)扇帶來很多不便。鑒于以上方面的考慮,我們需要設(shè)計(jì)一種智能電風(fēng)扇控制 系統(tǒng)來解決這些問題。 我們都知道,傳統(tǒng)電風(fēng)扇多采用機(jī)械方式進(jìn)行控制,功能少,噪音大,各檔的風(fēng) 速變化大,況且,檔速調(diào)換也不方便。而基于 at89c51 單片機(jī)的智能電風(fēng)扇調(diào)速器的 設(shè)計(jì),巧妙的利用紅外線遙控技術(shù)、單片機(jī)控制技術(shù)、無級調(diào)速技術(shù)和溫度傳感技術(shù), 把智能控制技術(shù)應(yīng)用于家用電器的控制中,將電風(fēng)扇的電機(jī)轉(zhuǎn)速作

11、為被控制量,由單 片機(jī)分析采集到的數(shù)字溫度信號,再通過可控硅對風(fēng)扇電機(jī)進(jìn)行調(diào)速,從而達(dá)到無須 人為控制便可自動(dòng)調(diào)整風(fēng)速的效果。 2 芯片介紹 2.1 at89c51 單片機(jī)介紹 at89c51 為 atmel 所生產(chǎn)的可電氣燒錄清洗的 8051 相容單芯片,其內(nèi)部程 序代碼容量為 4kb2。 1、at89c51 主要功能列舉如下: 為一般控制應(yīng)用的 8 位單芯片 晶片內(nèi)部具時(shí)鐘振蕩器(傳統(tǒng)最高工作頻率可至 12mhz) 內(nèi)部程式存儲(chǔ)器(rom)為 4kb 內(nèi)部數(shù)據(jù)存儲(chǔ)器(ram)為 128b 外部程序存儲(chǔ)器可擴(kuò)充至 64kb 外部數(shù)據(jù)存儲(chǔ)器可擴(kuò)充至 64kb 32 條雙向輸入輸出線,且每條均可

12、以單獨(dú)做 i/o 的控制 5 個(gè)中斷向量源 2 組獨(dú)立的 16 位定時(shí)器 1 個(gè)全多工串行通信端口 2、at89c51 各引腳功能介紹如圖 3.1 所示。 圖 2.1 at89c51 引腳圖 vcc:at89c51 電源正端輸入,接+5v。 vss:電源地端。 xtal1:單芯片系統(tǒng)時(shí)鐘的反相放大器輸入端。 xtal2:系統(tǒng)時(shí)鐘的反相放大器輸出端,一般在設(shè)計(jì)上只要在 xtal1 和 xtal2 上接上一只石英振蕩晶體系統(tǒng)就可以動(dòng)作了,此外可以在兩引腳與地之間加入 一 30pf 的小電容,可以使系統(tǒng)更穩(wěn)定,避免噪聲干擾而死機(jī)。 reset:at89c51 的重置引腳,高電平動(dòng)作,當(dāng)要對晶片重置時(shí)

13、,只要對此引腳 電平提升至高電平并保持兩個(gè)機(jī)器周期以上的時(shí)間,at89c51 便能完成系統(tǒng)重置的各 項(xiàng)動(dòng)作,使得內(nèi)部特殊功能寄存器之內(nèi)容均被設(shè)成已知狀態(tài),并且至地址 0000h 處開 始讀入程序代碼而執(zhí)行程序。 ea/vpp:ea為英文external access的縮寫,表示存取外部程序代碼之意,低 電平動(dòng)作,也就是說當(dāng)此引腳接低電平后,系統(tǒng)會(huì)取用外部的程序代碼(存于外部 eprom 中)來執(zhí)行程序3。因此在 8031 及 8032 中,ea 引腳必須接低電平,因?yàn)槠?內(nèi)部無程序存儲(chǔ)器空間。如果是使用 8751 內(nèi)部程序空間時(shí),此引腳要接成高電平。 此外,在將程序代碼燒錄至 8751 內(nèi)部

14、eprom 時(shí),可以利用此引腳來輸入 21v 的燒錄 高壓(vpp) 。 ale/prog:ale 是英文address latch enable的縮寫,表示地址鎖存器啟用信號。 at89c51 可以利用這支引腳來觸發(fā)外部的 8 位鎖存器(如 74ls373) ,將端口 0 的地址 總線(a0a7)鎖進(jìn)鎖存器中,因?yàn)?at89c51 是以多工的方式送出地址及數(shù)據(jù)。平 時(shí)在程序執(zhí)行時(shí) ale 引腳的輸出頻率約是系統(tǒng)工作頻率的 1/6,因此可以用來驅(qū)動(dòng)其 他周邊晶片的時(shí)基輸入。此外在燒錄 8751 程序代碼時(shí),此引腳會(huì)被當(dāng)成程序規(guī)劃的特 殊功能來使用。 psen:此為program store e

15、nable的縮寫,其意為程序儲(chǔ)存啟用,當(dāng) 8051 被設(shè)成 為讀取外部程序代碼工作模式時(shí)(ea=0) ,會(huì)送出此信號以便取得程序代碼,通常這 支腳是接到 eprom 的 oe 腳。at89c51 可以利用 psen 及 rd 引腳分別啟用存在外 部的 ram 與 eprom,使得數(shù)據(jù)存儲(chǔ)器與程序存儲(chǔ)器可以合并在一起而共用 64k 的定 址范圍。 port0(p0.0p0.7): 端口 0 是一個(gè) 8 位寬的開路汲極(open drain)雙向輸出入端口,共有 8 個(gè)位,p0.0 表示位 0,p0.1 表示位 1,依此類推。其他三個(gè) i/o 端口(p1、p2、p3)則不具有此電 路組態(tài),而是內(nèi)部

16、有一提升電路,p0 在當(dāng)做 i/o 用時(shí)可以推動(dòng) 8 個(gè) ls 的 ttl 負(fù)載。 如果當(dāng) ea 引腳為低電平時(shí)(即取用外部程序代碼或數(shù)據(jù)存儲(chǔ)器) ,p0 就以多工方式提 供地址總線(a0a7)及數(shù)據(jù)總線(d0d7) 。設(shè)計(jì)者必須外加一鎖存器將端口 0 送 出的地址栓鎖住成為 a0a7,再配合端口 2 所送出的 a8a15 合成一完整的 16 位地 址總線,而定址到 64k 的外部存儲(chǔ)器空間。 port1(p1.0p1.7):端口 1 也是具有內(nèi)部提升電路的雙向 i/o 端口,其輸出緩 沖器可以推動(dòng) 4 個(gè) ls ttl 負(fù)載,同樣地若將端口 1 的輸出設(shè)為高電平,便是由此端口 來輸入數(shù)據(jù)。如

17、果是使用 8052 或是 8032 的話,p1.0 又當(dāng)做定時(shí)器 2 的外部脈沖輸入 腳,而 p1.1 可以有 t2ex 功能,可以做外部中斷輸入的觸發(fā)腳位。 port2(p2.0p2.7):端口 2 是具有內(nèi)部提升電路的雙向 i/o 端口,每一個(gè)引腳 可以推動(dòng) 4 個(gè) ls 的 ttl 負(fù)載,若將端口 2 的輸出設(shè)為高電平時(shí),此端口便能當(dāng)成輸 入端口來使用。p2 除了當(dāng)做一般 i/o 端口使用外,若是在 at89c51 擴(kuò)充外接程序存儲(chǔ) 器或數(shù)據(jù)存儲(chǔ)器時(shí),也提供地址總線的高字節(jié) a8a15,這個(gè)時(shí)候 p2 便不能當(dāng)做 i/o 來使用了4。 port3(p3.0p3.7):端口 3 也具有內(nèi)部

18、提升電路的雙向 i/o 端口,其輸出緩沖 器可以推動(dòng) 4 個(gè) ttl 負(fù)載,同時(shí)還多工具有其他的額外特殊功能,包括串行通信、外 部中斷控制、計(jì)時(shí)計(jì)數(shù)控制及外部數(shù)據(jù)存儲(chǔ)器內(nèi)容的讀取或?qū)懭肟刂频裙δ?。其引腳 分配如下: p3.0:rxd,串行通信輸入。 p3.1:txd,串行通信輸出。 p3.2:int0,外部中斷 0 輸入。 p3.3:int1,外部中斷 1 輸入。 p3.4:t0,計(jì)時(shí)計(jì)數(shù)器 0 輸入。 p3.5:t1,計(jì)時(shí)計(jì)數(shù)器 1 輸入。 p3.6:wr:外部數(shù)據(jù)存儲(chǔ)器的寫入信號。 p3.7:rd,外部數(shù)據(jù)存儲(chǔ)器的讀取信號。 2.2 溫度傳感器 ds18b20 介紹 ds18b20 溫度傳

19、感器是美國達(dá)拉斯(dallas)半導(dǎo)體公司推出的應(yīng)用單總線技術(shù) 的數(shù)字溫度傳感器5。該器件將半導(dǎo)體溫敏器件、a/d 轉(zhuǎn)換器、存儲(chǔ)器等做在一個(gè)很小 的集成電路芯片上。本設(shè)計(jì)中溫度傳感器之所以選擇單線數(shù)字器件 ds18b20,是在經(jīng) 過多方面比較和考慮后決定的,主要有以下幾方面的原因: (1)系統(tǒng)的特性:測溫范圍為-55+125 ,測溫精度為士 0.5;溫度轉(zhuǎn)換 精度 912 位可變,能夠直接將溫度轉(zhuǎn)換值以 16 位二進(jìn)制數(shù)碼的方式串行輸出;12 位 精度轉(zhuǎn)換的最大時(shí)間為 750ms;可以通過數(shù)據(jù)線供電,具有超低功耗工作方式。 (2)系統(tǒng)成本:由于計(jì)算機(jī)技術(shù)和微電子技術(shù)的發(fā)展,新型大規(guī)模集成電路功

20、能 越來越強(qiáng)大,體積越來越小,而價(jià)格也越來越低。一支 ds18b20 的體積與普通三極管 相差無幾,價(jià)格只有十元人民幣左右。 (3)系統(tǒng)復(fù)雜度:由于 ds18b20 是單總線器件,微處理器與其接口時(shí)僅需占用 1 個(gè) i/o 端口且一條總線上可以掛接幾十個(gè) ds18b20,測溫時(shí)無需任何外部元件,因此, 與模擬傳感器相比,可以大大減少接線的數(shù)量,降低系統(tǒng)的復(fù)雜度,減少工程的施工 量。 (4)系統(tǒng)的調(diào)試和維護(hù):由于引線的減少,使得系統(tǒng)接口大為簡化,給系統(tǒng)的調(diào) 試帶來方便。同時(shí)因?yàn)?ds18b20 是全數(shù)字元器件,故障率很低,抗干擾性強(qiáng),因此, 減少了系統(tǒng)的日常維護(hù)工作。 ds18b20 溫度傳感器

21、只有三根外引線:單線數(shù)據(jù)傳輸總線端口 dq ,外供電源線 vdd,共用地線 gnd。ds18b20 有兩種供電方式:一種為數(shù)據(jù)線供電方式,此時(shí) vdd 接地,它是通過內(nèi)部電容在空閑時(shí)從數(shù)據(jù)線獲取能量,來完成溫度轉(zhuǎn)換,相應(yīng)的 完成溫度轉(zhuǎn)換的時(shí)間較長6。這種情況下,用單片機(jī)的一個(gè) i/o 口來完成對 ds18b20 總線的上拉。另一種是外部供電方式(vdd 接+5v),相應(yīng)的完成溫度測量的時(shí)間較短。 1、ds18b20 的性能特點(diǎn) ds18b20 的引腳圖如圖 2.3 所示。獨(dú)特的單線接口僅需要一個(gè)端口引腳進(jìn)行通信; 多個(gè) ds18b20 可以并聯(lián)在惟一的三線上,實(shí)現(xiàn)多點(diǎn)組網(wǎng)功能;無須外部器件;可

22、通過 數(shù)據(jù)線供電,電壓范圍為 3.05.5;零待機(jī)功耗;溫度以 9 或 12 位數(shù)字;用戶可定義 報(bào)警設(shè)置;報(bào)警搜索命令識別并標(biāo)志超過程序限定溫度(溫度報(bào)警條件)的器件;負(fù) 電壓特性,電源極性接反時(shí),溫度計(jì)不會(huì)因發(fā)熱而燒毀,但不能正常工作。ds18b20 的引腳功能描述如表 1 所示。 圖 2.3 ds18b20 的引腳排列 表 1 ds18b20 引腳功能描述 序號名稱引腳功能描述 1gnd 地信號 2dq 數(shù)字輸入輸出引腳,開漏單總線接口引腳,當(dāng)使用寄 生電源時(shí),可向電源提供電源 3vdd 可選擇的 vdd 引腳,當(dāng)工作于寄生電源時(shí),該引腳必 須接地 2、ds18b20 的內(nèi)部結(jié)構(gòu) ds1

23、8b20 的內(nèi)部框圖如圖 2.4 所示。64 位 rom 存儲(chǔ)器件獨(dú)一無二的序列號。暫 存器包含兩字節(jié)(0 和 1 字節(jié))的溫度寄存器,用于存儲(chǔ)溫度傳感器的數(shù)字輸出。暫存 器還提供一字節(jié)的上線警報(bào)觸發(fā)(th)和下線警報(bào)觸發(fā)(tl)寄存器(2 和 3 字節(jié)) , 和一字節(jié)的配置寄存器(4 字節(jié)) ,使用者可以通過配置寄存器來設(shè)置溫度轉(zhuǎn)換的精度。 暫存器的 5、6 和 7 字節(jié)器件內(nèi)部保留使用。第八字節(jié)含有循環(huán)冗余碼(crc ) 。 內(nèi)部 電源 探測 位 和 單線端口 位 產(chǎn)生器 暫存器 下限觸發(fā) 上限觸發(fā) 溫度傳感器 存儲(chǔ)器和控制邏輯 圖 2.4 ds18b20 的內(nèi)部框圖 該技術(shù)采用單根信號線

24、,既可傳輸時(shí)鐘,也能傳輸數(shù)據(jù),而且是雙向傳輸。適用 于單主機(jī)系統(tǒng),主機(jī)能夠控制一個(gè)或多個(gè)從機(jī)設(shè)備,通過一個(gè)漏極開路或三態(tài)端口連 至該數(shù)據(jù)線,以允許設(shè)備在不發(fā)送數(shù)據(jù)時(shí)能釋放該線,而讓其他設(shè)備使用。單線通常 要求外接一個(gè) 5k 的上拉電阻,這樣當(dāng)該線空閑時(shí),其狀態(tài)為高電平。 主機(jī)和從機(jī)之間的通訊分成三個(gè)步驟:初始化單線器件、識別單線器件和單線數(shù) 據(jù)傳輸7。 單線 1wire 協(xié)議由復(fù)位脈沖、應(yīng)答脈沖、寫 0、寫 1、讀 0、讀 1,這幾種信號類 型實(shí)現(xiàn),這些信號中除了應(yīng)答脈沖其他都由主機(jī)發(fā)起,并且所有指令和數(shù)據(jù)字節(jié)都是 低位在前。 ds18b20 直接將測量溫度值轉(zhuǎn)化為數(shù)字量提交給單片機(jī),工作時(shí)必

25、須嚴(yán)格遵守單 總線器件的工作時(shí)序。 表 2 部分溫度值與 ds18b20 輸出的數(shù)字量對照表 溫度值/ 數(shù)字輸出(二進(jìn)制) 數(shù)字輸出(十六進(jìn)制) +125 0000 0111 1101 0000 07d0h +85 0000 0101 0101 0000 0550h +25.625 0000 0001 1001 0001 0191h +10.125 0000 0000 1010 0010 00a2h +0.5 0000 0000 0000 1000 0008h 0 0000 0000 0000 0000 0000h -0.5 1111 1111 1111 1000 fff8h -10.125

26、1111 1111 0110 1110 ff5eh -25.625 1111 1111 0110 1111 ff6fh -55 1111 1100 1001 0000 fc90h 3 硬件電路及系統(tǒng)原理分析 3.1 系統(tǒng)總體設(shè)計(jì) 系統(tǒng)總體設(shè)計(jì)圖如圖 3.1 所示。 圖 3.1 系統(tǒng)總體設(shè)計(jì)結(jié)構(gòu)圖 本系統(tǒng)是以單片機(jī)為核心,由數(shù)字溫度傳感器 ds18b20、溫度、檔位顯示、電機(jī) 調(diào)速電路和蜂鳴器報(bào)警電路組成。 通過鍵盤可以控制系統(tǒng)為幾個(gè)不同的工作狀態(tài),休眠狀態(tài)、自動(dòng)檔以及手動(dòng)的幾 個(gè)檔位進(jìn)行工作。 對于單片機(jī)中央處理系統(tǒng)的方案設(shè)計(jì),根據(jù)要求,我們可以選用具有 4kb 片內(nèi) e2prom 的 at8

27、9c51 單片機(jī)作為中央處理器作為整個(gè)控制系統(tǒng)的核心,at89c51 內(nèi)部已包含了定時(shí)器、程序存儲(chǔ)器、數(shù)據(jù)存儲(chǔ)器等硬件,其硬件能符合整個(gè)控制系統(tǒng) 的要求,不需要外接其他存儲(chǔ)器芯片和定時(shí)器件,方便地構(gòu)成一個(gè)最小系統(tǒng)8。整個(gè)系 統(tǒng)結(jié)構(gòu)緊湊,抗干擾能力強(qiáng),性價(jià)比高。 3.2 功能描述 傳統(tǒng)電風(fēng)扇供電采用的是 220v 交流電,電機(jī)轉(zhuǎn)速分為幾個(gè)檔位,通過人工手動(dòng)調(diào) 整電機(jī)轉(zhuǎn)速達(dá)到改變風(fēng)速的目的,亦即,每改變一次風(fēng)力,必然有人參與操作,這樣 就會(huì)帶來諸多不便9。 電機(jī)調(diào)速 at89c51 鍵盤功能輸入 溫度、檔位顯示 數(shù)字溫度傳感器 蜂鳴器 a b c d e f g dp l1 l2 l3 l4 a

28、b c d e f g l5 a b c d e f g dp l1 l2 l3 l4 l1 l2 l3 l4 l5 dp l5 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p2.7/a15 28 p2.0/a8 21 p2.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2.5/a13 26 p2.6/a14 27

29、p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 u1 89c51 29.0 dq 2 vcc 3 gnd 1 u2 ds18b20 r1 10k r2 10k r3 10k r4 10k r5 10k r6 10k r7 10k r8 10k 32 u3:a 4009 zero crossing 1 2 6 4 u4 moc3031m u5 l2

30、008l6 r9 10k r10 10k c1 1nf r11 10k r12 10k v1 vsine 2 3 4 5 6 7 8 9 1 rp1 respack-8 r13 300 r14 300 r15 300 r16 300 r17 300 u7 and_5 q1 pn2907 buz1 buzzer gnd r25 100 停停止止 1檔檔 2檔檔 3檔檔 自自動(dòng)動(dòng) 風(fēng)風(fēng)扇扇檔檔位位顯顯示示 溫溫度度顯顯示示或或者者報(bào)報(bào)警警顯顯示示 y1 crystal c11 30pf c2 30pf r35 1k r2610k c3 10uf k1 rst vcc gnd 模模擬擬電電機(jī)機(jī) 圖

31、3.2 系統(tǒng)總體原理圖 本設(shè)計(jì)是以 at89c51 單片機(jī)控制中心,主要通過提取溫度傳感器 ds18b20 得到 的溫度以及內(nèi)部定時(shí)器設(shè)定時(shí)間長短來控制電風(fēng)扇的開關(guān)及轉(zhuǎn)速的變化。 電風(fēng)扇可工作在兩種狀態(tài):手動(dòng)調(diào)速狀態(tài)、自動(dòng)調(diào)速狀態(tài)。 手動(dòng)狀態(tài)時(shí)可以手動(dòng)調(diào)節(jié)速度; 自動(dòng)狀態(tài)時(shí)通過溫度高低自動(dòng)調(diào)節(jié)速度:“溫度高,轉(zhuǎn)速快;溫度低,轉(zhuǎn)速慢” ; 本設(shè)計(jì)主要介紹根據(jù)溫度傳感器 ds18b20 所采集的溫度來實(shí)現(xiàn)自動(dòng)調(diào)速。 在接通電源之后,cpu 處于休眠狀態(tài),當(dāng)用戶選擇了相應(yīng)的按鍵即擋位(自動(dòng)擋、 1 擋、2 擋、3 擋)之后,風(fēng)扇就進(jìn)入了相應(yīng)的工作狀態(tài)。本風(fēng)扇系統(tǒng)的自動(dòng)檔是通過 數(shù)字溫度傳感器 ds1

32、8b20 測量環(huán)境溫度,在測量完溫度之后,通過 led 數(shù)碼管顯示 出實(shí)時(shí)溫度,第四位顯示的是當(dāng)前溫度的警告信息10。c 標(biāo)識溫度不是很高(30 度以 下) ,處于 1 擋進(jìn)行工作;e 標(biāo)識溫度稍高一點(diǎn)(3035 度之間) ,用 2 擋工作,風(fēng)扇轉(zhuǎn) 速快一點(diǎn),降溫快;f 標(biāo)識溫度很高(37 度以上) ,應(yīng)該讓風(fēng)扇處于最快的轉(zhuǎn)速,以快 速的降低溫度。還有一位數(shù)碼管是單獨(dú)的顯示檔位的。系統(tǒng)總體電路圖如圖 3.2 所示。 3.3 單片機(jī)最小系統(tǒng)電路 在設(shè)計(jì)的溫度控制系統(tǒng)設(shè)計(jì)中,控制核心是 stc89c52 單片機(jī),該單片機(jī)為 51 系 列增強(qiáng)型 8 位單片機(jī),它有 32 個(gè) i/o 口,片內(nèi)含 4k

33、 flash 工藝的程序存儲(chǔ)器,便于 用電的方式瞬間擦除和改寫,而且價(jià)格便宜,其外部晶振為 12mhz,一個(gè)指令周期為 1s11。使用該單片機(jī)完全可以完成設(shè)計(jì)任務(wù),其最小系統(tǒng)主要包括:復(fù)位電路、震 蕩電路以及存儲(chǔ)器選擇模式(ea 腳的高低電平選擇) ,電路如下圖 3.3 所示。 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 u1 r6 200 c1 1uf r7 4.7k x1 crystal c2 1nf c3 1nf 圖 3.3 單片機(jī)最小系統(tǒng) 3.4 鍵盤控制電路 單片機(jī)系統(tǒng)中完成控制參數(shù)輸入及修改的基本輸入設(shè)備,是人工干預(yù)系統(tǒng)的重要 手段12

34、。 按編碼方式可分為編碼鍵盤與非編碼鍵盤。按鍵組連接方式可分為獨(dú)立連接式鍵 盤與矩陣連接式鍵盤。 獨(dú)立鍵盤:每鍵相互獨(dú)立,各自與一條 i/o 線相連,cpu 可直接讀取該 i/o 線的 高/低電平狀態(tài)。其優(yōu)點(diǎn)是硬件、軟件結(jié)構(gòu)簡單,判鍵速度快,使用方便;缺點(diǎn)是占 i/o 口線多。多用于設(shè)置控制鍵、功能鍵。適用于鍵數(shù)少的場合。 矩陣鍵盤:鍵按矩陣排列,各鍵處于矩陣行/列的結(jié)點(diǎn)處,cpu 通過對連在行(列) 的 i/o 線送已知電平的信號,然后讀取列(行)線的狀態(tài)信息。逐線掃描,得出鍵碼。其特 點(diǎn)是鍵多時(shí)占用 i/o 口線少,硬件資源利用合理,但判鍵速度慢。多用于設(shè)置數(shù)字鍵, 適用于鍵數(shù)多的場合。

35、鑒于以上獨(dú)立鍵盤和矩陣鍵盤的特點(diǎn),本系統(tǒng)使用按鍵較少,對按鍵的速度要求 不是很高,因此我們選擇獨(dú)立鍵盤作為本系統(tǒng)的輸入部分。如圖 3.4 所示。 圖 3.4 鍵盤控制電路 3.5 數(shù)碼管顯示電路 動(dòng)態(tài)顯示的特點(diǎn)是將所有位數(shù)碼管的段選線并聯(lián)在一起,由位選線控制是哪一位 數(shù)碼管有效。選亮數(shù)碼管采用動(dòng)態(tài)掃描顯示。所謂動(dòng)態(tài)掃描顯示即輪流向各位數(shù)碼管 送出字形碼和相應(yīng)的位選,利用發(fā)光管的余輝和人眼視覺暫留作用,使人的感覺好像 各位數(shù)碼管同時(shí)都在顯示。動(dòng)態(tài)顯示的亮度比靜態(tài)顯示要差一些,所以在選擇限流電 阻時(shí)應(yīng)略小于靜態(tài)顯示電路中的。 本設(shè)計(jì)一共用 5 個(gè)數(shù)碼管顯示:即前 3 個(gè)顯示溫度,第 4 個(gè)顯示溫度

36、單位 c,第 5 個(gè)顯示檔位。通過單片機(jī)的 p1 口控制數(shù)碼管的段選信號,用 p2 口的 p2.0 到 p2.4 控 制數(shù)碼管的位選13。通過 ds18b20 采集溫度信號,并作為單片機(jī)的輸入信號,根據(jù)輸 入信號的不同,通過不同的軟件程序產(chǎn)生相應(yīng)的輸出信號來控制數(shù)碼管的顯示。數(shù)碼 管顯示電路如圖 3.5 所示。 圖 3.5 數(shù)碼管顯示電路 3.6 電機(jī)調(diào)速電路 電機(jī)調(diào)速是整個(gè)控制裝置中的一個(gè)相當(dāng)重要的方面。通過控制改變雙向可控硅的 導(dǎo)通角,使輸出端電壓發(fā)生改變,從而使施加在電風(fēng)扇的輸入電壓發(fā)生改變,以調(diào)節(jié) 風(fēng)扇的轉(zhuǎn)速,實(shí)現(xiàn)各檔位風(fēng)速的無級調(diào)速。 3.6.1 電機(jī)調(diào)速原理 雙向可控硅的導(dǎo)通條件如

37、下: (1)陽-陰極間加正向電壓; (2)控制極-陰極間加正向觸發(fā)電壓; (3)陽極電流 ia 大于可控硅的最小維持電流 ih。 電風(fēng)扇的風(fēng)速從高到低設(shè)為 3、2、1 檔,每檔風(fēng)速都有一個(gè)限定值。在額定電壓、 額定功率下,以最高轉(zhuǎn)速運(yùn)轉(zhuǎn)時(shí),要求風(fēng)葉最大圓周上的線速度不大于 2150m/min。 且線速度可由下列公式求得 v=dn103 (1) 式(1)中,v 為扇葉最大圓周上的線速度(m/min),d 為扇中的最大頂端掃出圓的 直徑(mm),n 為電風(fēng)扇的最高轉(zhuǎn)速(r/min)。 代入數(shù)據(jù)求得 n31555r/min,取 n3=1250 r/min.又因?yàn)椋?%70%100 最高調(diào)速檔的轉(zhuǎn)速

38、最低調(diào)速檔的轉(zhuǎn)速 調(diào)速比 取 n1=875r/min。則可得出五個(gè)檔位的轉(zhuǎn)速值: n1=1063r/min,n2=1150 r/min,n3=1250r/min 又由于負(fù)載上電壓的有效值 u0=u1 (2) 2 2sin 式(2)中,u1 為輸入交流電壓的有效值, 為控制角。解得: 當(dāng) 3=0時(shí),t=0ms; 當(dāng) 2=23.5時(shí),t=1.70ms; 當(dāng) 1=46.5時(shí),t=2.58ms; 上述計(jì)算出的是控制角和觸發(fā)時(shí)間,當(dāng)檢測到過零點(diǎn)時(shí),按照所求得的觸發(fā)時(shí)間 延時(shí)發(fā)脈沖,便可實(shí)現(xiàn)預(yù)期轉(zhuǎn)速14。 3.6.2 電機(jī)控制模塊設(shè)計(jì) 本模塊電路中采用了過零雙向可控硅型光耦 moc3041m ,集光電隔離

39、、過零檢測、 過零觸發(fā)等功能于一身,避免了輸入輸出通道同時(shí)控制雙向可控硅觸發(fā)的缺陷, 簡化了 輸出通道隔離 2 驅(qū)動(dòng)電路的結(jié)構(gòu)。所設(shè)計(jì)的可控硅觸發(fā)電路原理圖見圖 3.6。其中 rl 即為電機(jī)負(fù)載,其工作原理是:單片機(jī)響應(yīng)用戶的參數(shù)設(shè)置, 在 i/ o 口輸出一個(gè)高電平, 經(jīng)反向器反向后, 送出一個(gè)低電平,使光電耦合器導(dǎo)通, 同時(shí)觸發(fā)雙向可控硅, 使工作電 路導(dǎo)通工作。給定時(shí)間內(nèi),負(fù)載得到的功率為: (3) ui n n p 式中: p 為負(fù)載得到的功率( kw); n 為給定時(shí)間內(nèi)可控硅導(dǎo)通的正弦波個(gè)數(shù); n 為給定時(shí)間內(nèi)交流正弦波的總個(gè)數(shù); u 為可控硅在一個(gè)電源周期全導(dǎo)通時(shí)所對應(yīng)的電壓 有

40、效值(v); i 為可控硅在一個(gè)電源周期全導(dǎo)通時(shí)所對應(yīng)的電流有效值(a)15。由式 (3) 可知,當(dāng) u , i , n 為定值時(shí), 只要改變 n 值的大小即可控制功率的輸出,從而達(dá)到調(diào) 節(jié)電機(jī)轉(zhuǎn)速的目的。風(fēng)扇電機(jī)控制電路如圖 3.6 所示。 p2.7/a15 28 p2.4/a12 p2.5/a13 26 p2.6/a14 27 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 32 u3:a 4009 zero crossing 1 2 6 4 u4 m

41、oc3031m u5 l2008l6 r9 10k r10 10k c1 1nf r11 10k r12 10k 圖 3.6 風(fēng)扇電機(jī)控制電路 3.7 報(bào)警電路 蜂鳴器是一種一體化結(jié)構(gòu)的電子訊響器,采用直流電壓供電,廣泛應(yīng)用于計(jì)算機(jī)、 復(fù)印機(jī)、報(bào)警器、定時(shí)器等電子產(chǎn)品中作發(fā)聲器件。 蜂鳴器發(fā)聲原理是電流通過電磁線圈,使電磁線圈產(chǎn)生磁場來驅(qū)動(dòng)振動(dòng)膜發(fā)聲的, 需要一定的電流才能驅(qū)動(dòng)它,單片機(jī) io 引腳輸出的電流較小,輸出的 ttl 電平基本 上驅(qū)動(dòng)不了蜂鳴器,因此需要增加一個(gè)電流放大的電路16。s51 增強(qiáng)型單片機(jī)實(shí)驗(yàn)板通 過一個(gè)三極管 c8550 來放大驅(qū)動(dòng)蜂鳴器。 蜂鳴器的正極接到 vcc(

42、5v)電源上面,蜂鳴器的負(fù)極接到三極管的發(fā)射極 e,三極管的基級 b 經(jīng)過限流電阻 r1 后由單片機(jī)的 p3.5 引腳控制,當(dāng) p3.5 輸出高電 平時(shí),三極管截止,沒有電流流過線圈,蜂鳴器不發(fā)聲;當(dāng) p3.5 輸出低電平時(shí),三極 管導(dǎo)通,這樣蜂鳴器的電流形成回路,發(fā)出聲音。因此,結(jié)合電路我們可以通過程序 控制 p3.5 腳的電平來使它發(fā)出聲音和關(guān)閉17。 程序中改變單片機(jī) p3.5 引腳輸出波形 的頻率,就可以調(diào)整控制蜂鳴器音調(diào),產(chǎn)生各種不同音色、音調(diào)的聲音。蜂鳴器的報(bào) 警電路如圖 3.7 所示。 圖 3.7 蜂鳴器報(bào)警電路 4 控制器軟件設(shè)計(jì) 本系統(tǒng)的運(yùn)行程序采用 c 語言編寫,采用模塊化

43、設(shè)計(jì),整體程序由主程序和顯示、 鍵盤掃描、紅外線接收以及電機(jī)控制等子程序模塊組成。 4.1 主程序 在主程序進(jìn)行初始化后,開始反復(fù)檢測各模塊相關(guān)部分的緩沖區(qū)的標(biāo)志,如果緩 沖區(qū)置位,說明相應(yīng)的數(shù)據(jù)需要處理,然后主程序調(diào)用相應(yīng)的處理子模塊。如圖 4.1 所 示。 圖 4.1 主程序模塊流程圖 4.2 溫度傳感器模塊 如圖 4.2 所示,主機(jī)控制 ds18b20 數(shù)字溫度傳感器完成溫度轉(zhuǎn)換工作必須經(jīng)過三 個(gè)步驟:初始化、rom 操作指令、存儲(chǔ)器操作指令。單片機(jī)所用的系統(tǒng)頻率為 12mhz18。 根據(jù) ds18b20 數(shù)字溫度傳感器進(jìn)行初始化時(shí)序、讀時(shí)序和寫時(shí)序分別可編寫 3 個(gè) 子程序:初始化子程

44、序、寫子程序、讀子程序。 圖 4.2 數(shù)字溫度傳感器模塊程序流程圖 開始 ds18b20 初始化 啟動(dòng) ds18b20 測溫 內(nèi)部判斷 調(diào)用讀子程序 顯示子程序 結(jié)束 調(diào)用相應(yīng)的控 制程序 調(diào)用相應(yīng)的鍵 值處理程序 調(diào)用寫子程序 異常 正常 ds18b20 芯片功能命令表如 2 所示。 表 2 ds18b20 功能命令表 命令 說明 協(xié)議 read rom 讀取激光 rom64 位 33h match rom 匹配 rom 55h skip rom 跳過 rom cch search rom 搜索 rom f0h alarm search 告警搜索 ech write scratchpad 把

45、字節(jié)寫入暫存器的地址 2 和 3 4eh read scratchpad 讀取暫存器和 crc 字節(jié) beh copy scratchpad 把暫存器內(nèi)容拷貝到非易失性存儲(chǔ)器中 48h convert t 開始溫度轉(zhuǎn)換 44h recall e2 把非易失性存儲(chǔ)器中的值召回暫存器 b8h read power supply 讀電源供電方式:0 為寄生電源,1 為外電源 b4h 4.3 電機(jī)調(diào)速與控制子模塊 本模塊采用雙向可控硅過零觸發(fā)方式,由單片機(jī)控制雙向可控硅的通斷,通過改 變每個(gè)控制周期內(nèi)可控硅導(dǎo)通和關(guān)斷交流完整全波信號的個(gè)數(shù)來調(diào)節(jié)負(fù)載功率,進(jìn)而 達(dá)到調(diào)速的目的19。 因?yàn)?int0 信號

46、反映工頻電壓過零時(shí)刻,所以只要在外中斷 0 的中斷服務(wù)程序中完 成控制門的開啟與關(guān)閉,并利用中斷服務(wù)次數(shù)對控制量 n 進(jìn)行計(jì)數(shù)和判斷,即每中斷 一次,對 n 進(jìn)行減 1 計(jì)數(shù),如果 n 不等于 0,保持控制電平為“1” ,繼續(xù)打開控制門; 如 n=0,則使控制電平復(fù)位為“0” ,關(guān)閉控制門,使可控硅過零觸發(fā)脈沖不再通過20。 這樣就可以按照控制處理得到的控制量的要求,實(shí)現(xiàn)可控硅的過零控制,從而達(dá)到按 控制量控制的效果,實(shí)現(xiàn)速度可調(diào)。 (1)中斷服務(wù)程序:執(zhí)行中斷服務(wù)程序時(shí),首先保護(hù)現(xiàn)場,int0 中斷標(biāo)志置位, 禁止主程序修改工作參數(shù),然后開始減 1 計(jì)數(shù),判斷是否關(guān)斷可控硅,最后 int0

47、中斷 標(biāo)志位清零,還原初始化數(shù)據(jù),恢復(fù)現(xiàn)場,中斷返回。 (設(shè) 1 秒鐘通過波形數(shù) n=100) (2)回路控制執(zhí)行程序:主回路控制執(zhí)行程序的任務(wù)是初始化數(shù)據(jù)存儲(chǔ)單元,確 定電機(jī)工作參數(shù) nmin/nmax,并將其換算成“有效過零脈沖”的個(gè)數(shù);確定中斷優(yōu)先 級、開中斷,為了保證正弦波的完整,工頻過零同步中斷 int0 確定為高一級的中斷源。 電機(jī)控制模塊中斷響應(yīng)流程圖如圖 4.3 所示。 圖 4.3 電機(jī)控制模塊中斷響應(yīng)流程圖 ex0 中斷 溫度大于等于下限值并 且小于上限值 控制可控硅 截止 中斷返回 設(shè)置 t0 參數(shù) 啟動(dòng)定時(shí) t0 中斷 控制可控硅導(dǎo) 通 停止 t0 定時(shí) 中斷返回 5 仿

48、真結(jié)果 當(dāng)溫度小于 30 度時(shí),為 1 檔。如圖 5.1 所示。 a b c d e f g dp l1 l2 l3 l4 a b c d e f g l5 a b c d e f g dp l1 l2 l3 l4 l1 l2 l3 l4 l5 dp l5 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p2.7/a15 28 p2.0/a8 21 p2.1/a9

49、 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2.5/a13 26 p2.6/a14 27 p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 u1 80c51 29.0 dq 2 vcc 3 gnd 1 u2 ds18b20 r1 10k r2 10k r3 10k r4 10k r5 10k r6 10k r7

50、 10k r8 10k 32 u3:a 4009 zero crossing 1 2 6 4 u4 moc3031m u5 l2008l6 r9 10k r10 10k c1 1nf r11 10k r12 10k v1 vsine 2 3 4 5 6 7 8 9 1 rp1 respack-8 u6 and_4 r13 300 r14 300 r15 300 r16 300 r17 300 圖5.1 溫度小于30度 當(dāng)溫度大于 30 度小于 35 度時(shí),為 2 檔。如圖 5.2 所示。 a b c d e f g dp l1 l2 l3 l4 a b c d e f g l5 a b c d

51、 e f g dp l1 l2 l3 l4 l1 l2 l3 l4 l5 dp l5 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p2.7/a15 28 p2.0/a8 21 p2.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2.5/a13 26 p2.6/a14 27 p1.0 1 p1.1 2 p1.2 3 p

52、1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 u1 89c51 32.7 dq 2 vcc 3 gnd 1 u2 ds18b20 r1 10k r2 10k r3 10k r4 10k r5 10k r6 10k r7 10k r8 10k 32 u3:a 4009 r9 10k r10 10k c1 1nf r11 10k r12 10k v1 vsine 2 3 4 5 6 7 8

53、9 1 rp1 respack-8 r13 300 r14 300 r15 300 r16 300 r17 300 u7 and_5 q1 pn2907 buz1 buzzer gnd r25 100 停停止止 1檔檔 2檔檔 3檔檔 自自動(dòng)動(dòng) 風(fēng)風(fēng)扇扇檔檔位位顯顯示示 溫溫度度顯顯示示或或者者報(bào)報(bào)警警顯顯示示 y1 crystal c11 30pf c2 30pf r35 1k r2610k c3 10uf k1 rst vcc gnd 電電機(jī)機(jī) rl1 g2rl-1ab-dc5 圖5.2 溫度大于30度小于35度 當(dāng)溫度大于 35 度時(shí),為 3 檔。如圖 5.3 所示。 a b c d e

54、 f g dp l1 l2 l3 l4 a b c d e f g l5 a b c d e f g dp l1 l2 l3 l4 l1 l2 l3 l4 l5 dp l5 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p2.7/a15 28 p2.0/a8 21 p2.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2

55、.5/a13 26 p2.6/a14 27 p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 u1 89c51 37.7 dq 2 vcc 3 gnd 1 u2 ds18b20 r1 10k r2 10k r3 10k r4 10k r5 10k r6 10k r7 10k r8 10k 32 u3:a 4009 r9 10k r10 10k c1

56、 1nf r11 10k r12 10k v1 vsine 2 3 4 5 6 7 8 9 1 rp1 respack-8 r13 300 r14 300 r15 300 r16 300 r17 300 u7 and_5 q1 pn2907 buz1 buzzer gnd r25 100 停停止止 1檔檔 2檔檔 3檔檔 自自動(dòng)動(dòng) 風(fēng)風(fēng)扇扇檔檔位位顯顯示示 溫溫度度顯顯示示或或者者報(bào)報(bào)警警顯顯示示 y1 crystal c11 30pf c2 30pf r35 1k r2610k c3 10uf k1 rst vcc gnd 電電機(jī)機(jī) rl1 g2rl-1ab-dc5 圖5.3 溫度大于35度

57、 當(dāng)溫度大于 40 度時(shí),蜂鳴器響起,此時(shí)顯示 ol。如圖 5.4 所示。 a b c d e f g dp l1 l2 l3 l4 a b c d e f g l5 a b c d e f g dp l1 l2 l3 l4 l1 l2 l3 l4 l5 dp l5 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p2.7/a15 28 p2.0/a8 21 p2

58、.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2.5/a13 26 p2.6/a14 27 p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 u1 89c51 40.7 dq 2 vcc 3 gnd 1 u2 ds18b20 r1 10k r2 10k r3 10k r4 10k r5 10k r6 1

59、0k r7 10k r8 10k 32 u3:a 4009 r9 10k r10 10k c1 1nf r11 10k r12 10k v1 vsine 2 3 4 5 6 7 8 9 1 rp1 respack-8 r13 300 r14 300 r15 300 r16 300 r17 300 u7 and_5 q1 pn2907 buz1 buzzer gnd r25 100 停停止止 1檔檔 2檔檔 3檔檔 自自動(dòng)動(dòng) 風(fēng)風(fēng)扇扇檔檔位位顯顯示示 溫溫度度顯顯示示或或者者報(bào)報(bào)警警顯顯示示 y1 crystal c11 30pf c2 30pf r35 1k r2610k c3 10uf k1

60、 rst vcc gnd 電電機(jī)機(jī) rl1 g2rl-1ab-dc5 圖5.4 溫度大于40度 結(jié)論 21 世紀(jì)是一個(gè)科技高度發(fā)展的時(shí)代,創(chuàng)新性、合理性將在未來的市場里占據(jù)主流 地位。大多數(shù)字化的產(chǎn)品以其靈巧輕便、功能強(qiáng)大、美觀得體為賣點(diǎn)而深受廣大市民 的青睞,由此可見市民的消費(fèi)觀念大多取決于新潮與創(chuàng)意,所以現(xiàn)今產(chǎn)品的發(fā)展越來 越趨向人性化和智能化。 本系統(tǒng)以單片機(jī) at89c51 為控制核心,實(shí)現(xiàn)由溫度對電風(fēng)扇開啟和關(guān)閉的智能控 制,以及溫度的實(shí)時(shí)顯示。利用單片機(jī)實(shí)現(xiàn)的智能溫控電風(fēng)扇系統(tǒng),性能可靠,成本 較低,適合大眾消費(fèi),有重要應(yīng)用價(jià)值。本系統(tǒng)的電路和程序稍作修改,還可以實(shí)現(xiàn) 其他一些功能

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論