版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、o李園園基于VHDL的乒乓球游戲機(jī)設(shè)計(jì)第2頁(yè)共23頁(yè)1引言EDA (Electronic Design Automation ,電子設(shè)計(jì)自動(dòng)化)技術(shù)是現(xiàn)代電子工程領(lǐng) 域的一門新技術(shù)。它提供了基于計(jì)算機(jī)和信息技術(shù)的電路系統(tǒng)設(shè)計(jì)方法。ED微術(shù)的發(fā)張和推廣應(yīng)用極大地推動(dòng)了電子工業(yè)的發(fā)展。ED微術(shù)就是以計(jì)算機(jī)為工具,在EDAC件 平臺(tái)上,對(duì)以硬件描述語(yǔ)言VHD的系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件自動(dòng)地完成邏輯編 譯,邏輯化簡(jiǎn),邏輯分割,邏輯綜合及優(yōu)化,邏輯布局布線,邏輯仿真,直至對(duì)于特定 目標(biāo)芯片的適配編譯,邏輯映射和編程下載等工作。設(shè)計(jì)者的工作僅限于利用軟件的方 式,即利用硬件描述語(yǔ)言來(lái)完成對(duì)系統(tǒng)硬件功
2、能的描述,在EDAC具的幫助下就可以得到最后的設(shè)計(jì)結(jié)果1。近年來(lái),集成電路制造技術(shù)的快速發(fā)展,一方面促進(jìn)了相應(yīng)設(shè)計(jì) 技術(shù)的發(fā)展,另一方面也對(duì)設(shè)計(jì)技術(shù)提出了更高的要求。當(dāng)前集成電路設(shè)計(jì),面臨著功能強(qiáng)、性能好、規(guī)模大、成本低、設(shè)計(jì)周期短等一系列要求和挑戰(zhàn),這些要求和挑戰(zhàn)引起了集成電路設(shè)計(jì)方法的全面革新。當(dāng)今,以行為設(shè)計(jì)為主要標(biāo)志的新一代數(shù)字系統(tǒng)設(shè) 計(jì)理論已形成并得到發(fā)展。在集成電路的數(shù)字系統(tǒng)的系統(tǒng)級(jí)設(shè)計(jì)中,VHDL硬件描述語(yǔ)言構(gòu)造的描述模型優(yōu)化設(shè)計(jì),有利于高效利用設(shè)計(jì)空間,實(shí)現(xiàn)設(shè)計(jì)結(jié)構(gòu)的精確分析,使 芯片資源得以充分利用。1.1 課題的背景、目的20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動(dòng)下,現(xiàn)
3、代電子產(chǎn)品幾乎滲透了社 會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn) 代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越快。而休閑時(shí)間越來(lái)越少的 人們?cè)絹?lái)越?jīng)]太多的時(shí)間出去搞戶外活動(dòng),于是眾多電子游戲相應(yīng)而出,成為大家的娛 樂(lè)節(jié)目。因此設(shè)計(jì)了這個(gè)兩人的乒乓球游戲。而電子信息類產(chǎn)品的開(kāi)發(fā)明顯地出現(xiàn)了兩 個(gè)特點(diǎn):一是開(kāi)發(fā)產(chǎn)品的復(fù)雜程度加深;二是開(kāi)發(fā)產(chǎn)品的上市時(shí)限緊迫。而伴隨著如上 兩個(gè)特點(diǎn)的產(chǎn)生,相應(yīng)的出現(xiàn)了設(shè)計(jì)上的兩個(gè)問(wèn)題。其一,在電子系統(tǒng)日趨數(shù)字化、復(fù) 雜化和大規(guī)模集成化的今天,電子廠商們?cè)郊悠惹械刈非箅娮赢a(chǎn)品的高功能、優(yōu)品質(zhì)、 低成本、微功耗和微小封裝尺寸,
4、從而使得電子設(shè)計(jì)日趨復(fù)雜。那么如何去完成這些高 復(fù)雜度的電子設(shè)計(jì)呢?其二,電子產(chǎn)品設(shè)計(jì)周期短和上市快是電子廠商們堅(jiān)持不懈的追 求,那么面對(duì)日趨復(fù)雜的設(shè)計(jì),又如何能夠縮短開(kāi)發(fā)時(shí)間呢?解決以上兩個(gè)問(wèn)題的唯一 途徑是電子設(shè)計(jì)自動(dòng)化(EDA,即用計(jì)算機(jī)幫助設(shè)計(jì)人員完成繁瑣的設(shè)計(jì)工作。1.2 課題設(shè)計(jì)主要內(nèi)容兩人乒乓球游戲機(jī)是用8個(gè)發(fā)光二級(jí)管代表乒乓球臺(tái),中間兩個(gè)發(fā)光二極管兼做乒 乓球網(wǎng),用點(diǎn)亮的發(fā)光二極管按一定方向移動(dòng)來(lái)表示球的運(yùn)動(dòng)。在游戲機(jī)的兩側(cè)各設(shè)置 發(fā)球和擊球開(kāi)關(guān),甲乙雙方按乒乓球比賽規(guī)則來(lái)操作開(kāi)關(guān)。當(dāng)甲方按動(dòng)發(fā)球開(kāi)關(guān)時(shí),靠 近甲方的第一個(gè)發(fā)光二極管亮,然后發(fā)光二極管由甲方向乙方依次點(diǎn)亮,代表乒
5、乓球的 移動(dòng)。當(dāng)球過(guò)網(wǎng)后按照設(shè)計(jì)者規(guī)定的球位乙方就可以擊球。若乙方提前擊球或者未擊到 球,則甲方得分。然后重新發(fā)球進(jìn)行比賽,知道一方記分達(dá)到21分為止,記分清零,重新開(kāi)始新一局比賽。李園園基于VHDL的乒乓球游戲機(jī)設(shè)計(jì)第7頁(yè)共23頁(yè)2開(kāi)發(fā)工具簡(jiǎn)介2.1 EDA技術(shù)EDA2是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)縮寫,是 90年代初從 CAD (計(jì)算機(jī)輔助設(shè)計(jì))、CAM (計(jì)算機(jī)輔助制造)、CAT (計(jì)算機(jī)輔助測(cè)試)和 CAE (計(jì)算機(jī)輔助工程)的概念發(fā)展而來(lái)的。EDA技術(shù)是以計(jì)算機(jī)為工具,根據(jù)硬件描述語(yǔ)言HDL ( Hardware Description
6、language完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、 化簡(jiǎn)、分割、綜合及優(yōu)化、布局布線、仿真以及對(duì)于特定目標(biāo)芯片的適配編譯和編程下 載等工作。典型的EDA工具中必須包含兩個(gè)特殊的軟件包,即綜合器和適配器。綜合 器的功能就是將設(shè)計(jì)者在 EDA平臺(tái)上完成的針對(duì)某個(gè)系統(tǒng)項(xiàng)目的 HDL、原理圖或狀態(tài) 圖形描述,針對(duì)給定的硬件系統(tǒng)組件,進(jìn)行編譯、優(yōu)化、轉(zhuǎn)換和綜合,最終獲得我們欲 實(shí)現(xiàn)功能的描述文件。綜合器在工作前,必須給定所要實(shí)現(xiàn)的硬件結(jié)構(gòu)參數(shù),它的功能 就是將軟件描述與給定的硬件結(jié)構(gòu)用一定的方式聯(lián)系起來(lái)。也就是說(shuō),綜合器是軟件描 述與硬件實(shí)現(xiàn)的一座橋梁。綜合過(guò)程就是將電路的高級(jí)語(yǔ)言描述轉(zhuǎn)換低級(jí)的、可與
7、目標(biāo) 器件FPGA/CPLD相映射的網(wǎng)表文件。硬件描述語(yǔ)言HDL是相對(duì)于一般的計(jì)算機(jī)軟件語(yǔ)言,如:C、PASCAL而言的。HDL語(yǔ)言使用與設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語(yǔ)言,它能描述電子系統(tǒng)的邏輯功能、電 路結(jié)構(gòu)和連接方式。設(shè)計(jì)者可利用 HDL程序來(lái)描述所希望的電路系統(tǒng),規(guī)定器件結(jié)構(gòu) 特征和電路的行為方式;然后利用綜合器和適配器將此程序編程能控制FPGA和CPLD內(nèi)部結(jié)構(gòu),并實(shí)現(xiàn)相應(yīng)邏輯功能的的門級(jí)或更底層的結(jié)構(gòu)網(wǎng)表文件或下載文件。目前, 就FPGA/CPLD開(kāi)發(fā)來(lái)說(shuō),比較常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。2.2 硬件描述語(yǔ)言一VHDL2.3 MAX+PLUS 的介紹3設(shè)
8、計(jì)方案3.1 狀態(tài)機(jī)的設(shè)計(jì)思路狀態(tài)機(jī)設(shè)置了 7個(gè)狀態(tài),分別是“等待發(fā)球狀態(tài)”,第一盞燈亮狀態(tài)“,第八盞燈 亮狀態(tài)”,“球向乙移動(dòng)狀態(tài)”,“球向甲移動(dòng)狀態(tài)”,“允許甲擊球狀態(tài)”,“允許乙擊球狀 態(tài)”。這是該程序中起作用的7個(gè)狀態(tài)。開(kāi)始的時(shí)候處于“等待發(fā)球狀態(tài)”,若甲發(fā)球則 狀態(tài)轉(zhuǎn)移到“第一盞燈亮狀態(tài),若乙發(fā)球則轉(zhuǎn)移到”第八盞燈亮狀態(tài)”,具體說(shuō)明以甲 發(fā)球?yàn)槔?。若發(fā)球后乙沒(méi)有提前擊球一一規(guī)定球移動(dòng)到對(duì)方第一個(gè)發(fā)光二極管時(shí)允許擊球,那么狀態(tài)機(jī)從“第一盞燈亮狀態(tài)”轉(zhuǎn)移到“球向乙移動(dòng)狀態(tài)”。若在“球向乙移動(dòng)狀態(tài)”乙仍然沒(méi)有提前擊球,狀態(tài)就轉(zhuǎn)移到“允許乙擊球狀態(tài)”,在此狀態(tài)下,如果乙擊球了, 那么狀態(tài)就轉(zhuǎn)
9、移到“球向甲移動(dòng)狀態(tài)”。在“第一盞燈亮狀態(tài)”,“球向乙移動(dòng)狀態(tài)”中, 如果乙擊球了,就算提前擊球,這樣甲得分,狀態(tài)轉(zhuǎn)移到“等待發(fā)球狀態(tài)”等待發(fā)球?!扒蛳蚣滓苿?dòng)狀態(tài)”之后的過(guò)程和前面的過(guò)程只不過(guò)是甲乙角色的調(diào)換而已。狀態(tài)轉(zhuǎn)移 規(guī)則都是一樣。圖3-1給出了乒乓游戲機(jī)的狀態(tài)轉(zhuǎn)移圖。圖3-13.2 乒乓球游戲機(jī)實(shí)體的設(shè)計(jì)設(shè)計(jì)該乒乓球游戲機(jī)的輸入/輸出端口。首先考慮輸入端口, 一般都應(yīng)該設(shè)置一個(gè)異 步置位端口 reset用于在系統(tǒng)不正常時(shí)回到初始狀態(tài);兩個(gè)發(fā)球輸入端servel和serve2, 邏輯1'分別表示甲方和乙方的發(fā)球;兩個(gè)擊球輸入端hitl和hit2,邏輯1'分別表示甲擊球和乙
10、擊球;一個(gè)開(kāi)始游戲按鈕startbutton,處于邏輯1'表示可以游戲;還得有一個(gè)時(shí)鐘輸入端口 clk。其次考慮輸出端口,芯片應(yīng)該有8個(gè)輸出端口來(lái)控制8個(gè)發(fā)光二極管,輸出邏輯1' 即輸出一個(gè)高電平,可以使發(fā)光二極管點(diǎn)亮;另外,要直觀地表示雙方的得分,就得用 到七段譯碼器,每方用到2個(gè),可以表示021的數(shù)字,每個(gè)七段譯碼器需要芯片的7個(gè)輸出端口來(lái)控制,總共需要28個(gè)輸出端口。實(shí)體的設(shè)計(jì)如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigne
11、d.all;entity pingponggame isport(reset: in std_logic;clk: in std_logic;startbutton: in std_logic;serve: in std_logic_vector(1 to 2);hit1,hit2: in std_logic;light: out std_logic_vector(1 to 8);score11,score12,score21,score22: out std_logic_vector(1 to 7);end pingponggame;3.3 狀態(tài)機(jī)編程實(shí)現(xiàn)狀態(tài)機(jī)設(shè)置了 7個(gè)狀態(tài),分別是等待發(fā)
12、球狀態(tài)(waitserve)、第一盞燈亮狀態(tài)(light1on)、第八盞燈亮狀態(tài)(light8on)、球向乙移動(dòng)狀態(tài)(ballmoveto2)、球向甲移動(dòng) 狀態(tài)(ballmoveto1)、允許甲擊球狀態(tài)(allow1hit)和允許乙擊球狀態(tài)(allow2hit)。狀態(tài) waitserve, light1on, ballmoveto2, allow2hit, light8on, ballmoveto1 和 allow1hit 代表的具體數(shù)值依次是0到6.在波形模擬圖中是用數(shù)值來(lái)表示狀態(tài)的。乒乓球游戲機(jī)中有兩個(gè)計(jì)數(shù)器 count1和count2,分別記憶甲的得分和乙的得分;一 個(gè)i信號(hào),用它的數(shù)值
13、來(lái)控制狀態(tài)機(jī)外 8個(gè)發(fā)光二極管的亮和暗,比如當(dāng)i=1時(shí)表示第 一個(gè)發(fā)光二極管亮,用發(fā)光二級(jí)管的輪流發(fā)光表示球的移動(dòng)軌跡。輸入狀態(tài)機(jī)的信號(hào)有游戲開(kāi)關(guān) startbutton信號(hào),它是1位二進(jìn)制信號(hào),數(shù)值為1表 示可以進(jìn)入游戲;serve信號(hào),是一個(gè)2位二進(jìn)制向量,“01”表示甲發(fā)球;兩個(gè)二進(jìn)制勺1表示不擊球。信號(hào)hitl和hit2分別表示甲乙是否擊球,若數(shù)值為 1,表示擊球, 以下是狀態(tài)機(jī)進(jìn)程代碼。process(clk)beginif reset='1' theni<=0;count1<="00000”;count2<="00000”;el
14、sif clk'event and clk='1'thenif count1="10101" or count2="10101”theni<=0;count1<="00000”;count2<="00000”;elsif startbutton='0' theni<=0;count1<="00000”;count2<="00000”;elsecase state iswhen waitserve =>case serve iswhen &quo
15、t;00" => i<=0;when "10" => i<=1;state<=light1on;when "01" => i<=8;state<=light8on;when "11" => i<=0;when others => i<=0;end case;when light1on => i<=2;if hit2='1' then i<=0;count1<=count1+1;state<=waitserve
16、;elsestate<=ballmoveto2;end if;when light8on => i<=7;if hit1='1' then i<=0;count2<=count2+1;state<=waitserve;elsestate<=ballmoveto1;end if;when ballmoveto1 =>if hit1='1' then i<=0;count2<=count2+1;state<=waitserve;elsif i=2 then i<=1;state<=allo
17、w1hit;else i<=i-1;end if;when ballmoveto2 =>if hit2='1' then i<=0;count1<=count1+1;state<=waitserve;elsif i=7 then i<=8;state<=allow2hit;else i<=i+1;end if;when allow1hit =>if hit1='1' then i<=2;state<=ballmoveto2;else count2<=count2+1;i<=0;stat
18、e<=waitserve;end if;when allow2hit =>if hit2='1' then i<=7;state<=ballmoveto1;else count1<=count1+1;i<=0;state<=waitserve;end if;end case;end if;end if;end process;3.4 記分譯碼器的設(shè)計(jì)七段譯碼器是在數(shù)字電路設(shè)計(jì)中經(jīng)常用到的顯示電路。所謂七段譯碼器,其實(shí)是由 7段發(fā)光二極管組成的用于顯示數(shù)字的器件。如圖 3-2所示。D-CCDC圖3-2其中的a,b,c,d,e,f,g飛奔為
19、7段發(fā)光二極管,通過(guò)控制每個(gè)發(fā)光二極管的亮和暗,可 以分別顯示09十個(gè)數(shù)字。例如,b和c兩段發(fā)光二極管亮,其他發(fā)光二極管暗,則表 示數(shù)字“1”; a,b,g,e和d五段發(fā)光二極管亮,其他發(fā)光二極管暗,則表示數(shù)字“2”。七段譯碼器有7個(gè)輸入端,分別控制ag七段發(fā)光二極管。記分譯碼器(mydecoder):由于記分需要顯示出來(lái),所以要使用七段譯碼器。而狀 態(tài)機(jī)中的記分是由5位二進(jìn)制碼來(lái)表示的,即count1和count2以下程序就是實(shí)現(xiàn)從 5 位二進(jìn)制碼轉(zhuǎn)換成七段譯碼顯示。bcout1(1)和bcout2(1)表示a段,bcout1(2)和bcout2(2)表示b段,以此類推,bcout1和bco
20、ut2表示g段。這個(gè)記分譯碼器電路是針對(duì)乒乓 球游戲機(jī)的特點(diǎn)進(jìn)行的特別設(shè)計(jì),采用的是全部列舉的方法,代碼如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;李園園基于VHDL的乒乓球游戲機(jī)設(shè)計(jì)第11頁(yè)共23頁(yè)entity mydecoder isport(binaryin: in std_logic_vector(1 to 5);bcdoutl: out std_logic_vector(1 to 7);bcdout2: out std_
21、logic_vector(1 to 7);end mydecoder;architecture m of mydecoder issignal tembinaryin:std_logic_vector(1 to 5);beginprocess(binaryin)begintembinaryin<=binaryin;case tembinaryin iswhen "00000"=> bcdout1<="1111110"bcdout2<="1111110"when "00001"=> bc
22、dout1<="1111110"bcdout2<="0110000"when "00010"=> bcdout1<="1111110"bcdout2<="1101101"when "00011"=> bcdout1<="1111110"bcdout2<="1111001"when "00100"=> bcdout1<="1111110"b
23、cdout2<="0110011"when "00101"=> bcdout1<="1111110"bcdout2<="1011011"when "00110"=> bcdout1<="1111110"bcdout2<="1011111"when "00111"=> bcdout1<="1111110"bcdout2<="1110000"
24、when "01000"=> bcdout1<="1111110"bcdout2<="1111111"when "01001"=> bcdout1<="1111110"bcdout2<="1111011"when "01010" =>bcdout1<="0110000"bcdout2<="1111110"when "01011" =>bc
25、dout1<="0110000"bcdout2<="0110000"when "01100" =>bcdout1<="0110000"bcdout2<="1101101"when "01101" =>bcdout1<="0110000"bcdout2<="1111001"when "01110" =>bcdout1<="0110000"b
26、cdout2<="0110011"when "01111" =>bcdout1<="0110000”;bcdout2<="1011011”;when "10000" =>bcdout1<="0110000”;bcdout2<="1011111”;when "10001" =>bcdout1<="0110000”;bcdout2<="1110000”;when "10010" =
27、>bcdout1<="0110000”;bcdout2<="1111111”;when "10011" =>bcdout1<="0110000”;bcdout2<="1111011”;when "10100" =>bcdout1<="1101101"bcdout2<="1111110”;when "10101" =>bcdout1<="1101101"bcdout2<=&qu
28、ot;0110000”;when others =>bcdout1<="1101101"bcdout2<="1111110"end case;end process;end m;3.5 構(gòu)造體的設(shè)計(jì)構(gòu)造體代碼如下:architecture game of pingponggame istype pingpong is (waitserve,light1on,ballmoveto2,allow2hit,light8on, ballmoveto1,allow1hit);signal state:pingpong;signal i:integ
29、er range 0 to 8;signal count1,count2:std_logic_vector(1 to 5):="00000"component mydecoder isport(binaryin: in std_logic_vector(1 to 5);bcdout1: out std_logic_vector(1 to 7);bcdout2: out std_logic_vector(1 to 7);end component;beginprocess(clk)beginend process;light<="10000000” when
30、(i=1) else"01000000" when (i=2) else"00100000" when (i=3) else"00010000" when (i=4) else"00001000" when (i=5) else"00000100" when (i=6) else"00000010" when (i=7) else "00000001" when (i=8) else "00000000"u0: mydecoder po
31、rt map(count1,score11,score12);u1: mydecoder port map(count2,score21,score22); end game;該構(gòu)造體緊跟在實(shí)體設(shè)計(jì)之后,這樣就完成了數(shù)字乒乓游戲機(jī)的VHDL源程序編寫。從構(gòu)造體設(shè)計(jì)中可以看到,控制整個(gè)乒乓球游戲機(jī)運(yùn)轉(zhuǎn)的就是狀態(tài)機(jī)進(jìn)程,它對(duì)各 個(gè)外圍部分起控制作用。它是整個(gè)程序的核心,起到一個(gè)中心控制器的作用。而外圍的 部分,比如分?jǐn)?shù)顯示,球的軌跡,都是通過(guò)狀態(tài)機(jī)傳出的信號(hào)來(lái)控制,這就是狀態(tài)機(jī)的 功能和作用。程序中的球的軌跡,即發(fā)光二極管的亮暗是通過(guò)狀態(tài)機(jī)中傳出的i信號(hào)來(lái)控制的,而分?jǐn)?shù)顯示則是通過(guò)狀態(tài)機(jī)中傳出的c
32、ount1和count2信號(hào)來(lái)控制的。而狀態(tài)機(jī)中i信號(hào)和count1, count2 信號(hào)的變化同時(shí)就可以影響到外圍的顯示部分一一發(fā)光二 極管和七段譯碼器,從而表現(xiàn)出當(dāng)時(shí)的乒乓球位置和雙方分?jǐn)?shù)情況。3.6 編譯和波形仿真圖3-3所示代表乙發(fā)球,由light端口輸出的高電平會(huì)驅(qū)動(dòng)芯片以外的發(fā)光二極管使 之點(diǎn)亮,這樣就可以通過(guò)發(fā)光二極管模擬乒乓球的運(yùn)動(dòng)軌跡??梢钥吹?,在甲該擊球的 時(shí)候沒(méi)有擊球,也就是hit1在state狀態(tài)6 (allow1hit,允許甲擊球狀態(tài))的時(shí)候沒(méi)有高 電平'1'輸入,則算乙得分,count2由0變到1, score22的值隨之變化,“30”代表了 二進(jìn)制
33、的“ 0110000',就是七段譯碼器顯示1',之后state回到狀態(tài)0 (waitserve,等 待發(fā)球狀態(tài))。從最后一行state值的變化,可以清楚地分析狀態(tài)轉(zhuǎn)移。圖3-3i從8開(kāi)始依次遞減計(jì)數(shù),控制發(fā)光二極管亮暗的light信號(hào)也隨著i的數(shù)值而變化,如圖3-4所示:圖3-4圖3-5是在乙發(fā)球以后,甲子正確時(shí)刻擊球的波形仿真圖。甲在 state為狀態(tài)6(allowlhit,允許甲擊球狀態(tài))的時(shí)候擊球了,在圖上 hitl在此時(shí)刻出現(xiàn)高電平,看到state轉(zhuǎn)移了狀態(tài)2 ( ballmoveto2,球向乙移動(dòng)狀態(tài))當(dāng)?shù)搅藸顟B(tài) 3 (allow2hit,允許乙擊球狀態(tài))乙沒(méi)有擊球,
34、所以甲得分了,count1由0變到1,而七段譯碼器隨之改變。李園園基于VHDL的乒乓球游戲機(jī)設(shè)計(jì)第15頁(yè)共23頁(yè)圖3-5圖3-6為上圖的球的移動(dòng)軌跡:hq 1:- light? Iighr3 Iight4-A lights lhtG light? lights 的i圖3-7是仿真甲提早擊球的情況,在不允許甲擊球的狀態(tài)下,即state處于狀態(tài)5(ballmovetol,球向甲移動(dòng)狀態(tài))時(shí),hitl輸入邏輯'1',表示甲擊球了,所以乙得分圖3-7圖3-8為上圖的球的移動(dòng)軌跡:-light 1 * light?Iight4 lights lights light?T* lightsi
35、* El圖3-8李園園基于VHDL的乒乓球游戲機(jī)設(shè)計(jì)第#頁(yè)共23頁(yè)結(jié)束語(yǔ)在這個(gè)設(shè)計(jì)中,初步體現(xiàn)了狀態(tài)機(jī)的中心控制作用。通過(guò)狀態(tài)機(jī)進(jìn)程傳出的信號(hào), 驅(qū)動(dòng)了發(fā)光二極管以及七段譯碼器等外圍設(shè)備。狀態(tài)機(jī)進(jìn)程傳出的i信號(hào),控制了發(fā)光二極管的狀態(tài),狀態(tài)機(jī)進(jìn)程傳出的 countl和count2信號(hào),控制了七段譯碼器的顯示。 如果要用實(shí)際電路來(lái)實(shí)現(xiàn)乒乓球游戲機(jī),就還要將設(shè)計(jì)下載到芯片中去,并且加上外圍 電路,這些外圍電路包括七段譯碼器,按鍵,即便如此,上面的設(shè)計(jì)還是不夠的,還存 在兩個(gè)問(wèn)題,一個(gè)是時(shí)鐘頻率問(wèn)題,一個(gè)是按鍵問(wèn)題,但由于本人時(shí)間及能力有限,就 沒(méi)多加研究,深感遺憾。o李園園基于VHDL的乒乓球游戲
36、機(jī)設(shè)計(jì)第17頁(yè)共23頁(yè)附錄:基于 VHDL數(shù)字電子鐘設(shè)計(jì)與實(shí)現(xiàn)源程序代碼(1) 頂層文件(文件名為pingponggame.vhcDlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity pingponggame isport(reset: in std_logic;clk: in std_logic;startbutton: in std_logic;serve: in std_logic_vector(1 to 2);hit1,h
37、it2: in std_logic;light: out std_logic_vector(1 to 8);score11,score12,score21,score22: out std_logic_vector(1 to 7);end pingponggame;architecture game of pingponggame istype pingpong is (waitserve,light1on,ballmoveto2,allow2hit,light8on, ballmoveto1,allow1hit);signal state:pingpong;signal i:integer
38、range 0 to 8;signal count1,count2:std_logic_vector(1 to 5):="00000”;component mydecoder isport(binaryin: in std_logic_vector(1 to 5);bcdout1: out std_logic_vector(1 to 7);bcdout2: out std_logic_vector(1 to 7);end component;beginprocess(clk)beginif reset='1' theni<=0;count1<="
39、00000"count2<="00000"elsif clk'event and clk='1'thenif count1="10101" or count2="10101”theni<=0;count1<="00000"count2<="00000"elsif startbutton='0' theni<=0;count1<="00000"count2<="00000"el
40、secase state iswhen waitserve =>case serve iswhen "00" => i<=0;when "10" => i<=1;state<=light1on;when "01" => i<=8;state<=light8on;when "11" => i<=0;when others => i<=0;end case;when light1on => i<=2;if hit2='1&
41、#39; then i<=0;count1<=count1+1;state<=waitserve;elsestate<=ballmoveto2;end if;when light80n => i<=7;if hit1='1' then i<=0;count2<=count2+1;state<=waitserve;elsestate<=ballmoveto1;end if;when ballmoveto1 =>if hit1='1' then i<=0;count2<=count2+1;
42、state<=waitserve;elsif i=2 then i<=1;state<=allow1hit;else i<=i-1;end if;when ballmoveto2 =>if hit2='1' then i<=0;count1<=count1+1;state<=waitserve;elsif i=7 then i<=8;state<=allow2hit;else i<=i+1;end if;when allow1hit =>if hit1='1' then i<=2;st
43、ate<=ballmoveto2;else count2<=count2+1;i<=0;state<=waitserve;end if;when allow2hit =>if hit2='1' then i<=7;state<=ballmoveto1;else count1<=count1+1;i<=0;state<=waitserve;end if;end case;end if;end if;end process;light<="10000000" when (i=1) else&quo
44、t;01000000" when (i=2) else"00100000" when (i=3) else"00010000" when (i=4) else"00001000" when (i=5) else"00000100" when (i=6) else"00000010" when (i=7) else"00000001" when (i=8) else"00000000"u0: mydecoder port map(count1,sc
45、ore11,score12);u1: mydecoder port map(count2,score21,score22); end game;(2)七段譯碼器(文件名:mydecoder.vhd)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity mydecoder isport(binaryin: in std_logic_vector(1 to 5);bcdout1: out std_logic_vector(1 to 7)
46、;bcdout2: out std_logic_vector(1 to 7); end mydecoder;architecture m of mydecoder issignal tembinaryin:std_logic_vector(1 to 5);beginprocess(binaryin)begintembinaryin<=binaryin;case tembinaryin isbcdout2<="1111110"bcdout2<="0110000"bcdout2<="1101101"bcdout2&
47、lt;="1111001"bcdout2<="0110011"bcdout2<="1011011"bcdout2<="1011111"bcdout2<="1110000"when "00000"=> bcdout1<="1111110"when "00001"=> bcdout1<="1111110"when "00010"=> bcdout1<="1111110"when "00011"=> bcdout1<="1111110"when "00100"=> bcdout1<="1111110&quo
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024-2030年中國(guó)衍生品行業(yè)發(fā)展模式及投資競(jìng)爭(zhēng)力分析報(bào)告
- 2024-2030年中國(guó)蠟酸蠟醋產(chǎn)業(yè)未來(lái)發(fā)展趨勢(shì)及投資策略分析報(bào)告
- 2024-2030年中國(guó)股票配資行業(yè)發(fā)展模式及投資規(guī)劃分析報(bào)告
- 2024-2030年中國(guó)能效管理平臺(tái)產(chǎn)業(yè)發(fā)展?jié)摿σ?guī)劃分析報(bào)告
- 2024-2030年中國(guó)肺功能儀行業(yè)發(fā)展趨勢(shì)及投資策略建議報(bào)告版
- 古文化博物館改造方案
- 2024-2030年中國(guó)糖精行業(yè)競(jìng)爭(zhēng)態(tài)勢(shì)與需求趨勢(shì)預(yù)測(cè)報(bào)告
- 2024-2030年中國(guó)程控電源行業(yè)運(yùn)作模式及發(fā)展策略研究報(bào)告
- 合成樹(shù)脂瓦工程驗(yàn)收合同
- 2024-2030年中國(guó)石材行業(yè)資產(chǎn)規(guī)模預(yù)測(cè)及發(fā)展戰(zhàn)略動(dòng)向咨詢報(bào)告
- 【公開(kāi)課】《農(nóng)業(yè)專題復(fù)習(xí)》【課件】
- 第7課《大雁歸來(lái)》課件(共15張ppt) 部編版語(yǔ)文八年級(jí)下冊(cè)
- 培訓(xùn)的方式和方法課件
- 三年級(jí)下冊(cè)口算天天100題(A4打印版)
- 三基選擇題(東南大學(xué)出版社)
- 2021年大唐集團(tuán)招聘筆試試題及答案
- DBJ53/T-39-2020 云南省民用建筑節(jié)能設(shè)計(jì)標(biāo)準(zhǔn)
- 2022版義務(wù)教育數(shù)學(xué)課程標(biāo)準(zhǔn)解讀課件PPT模板
- 實(shí)驗(yàn)五 PCR擴(kuò)增課件
- 馬拉松運(yùn)動(dòng)醫(yī)療支援培訓(xùn)課件
- 中醫(yī)藥宣傳手冊(cè)
評(píng)論
0/150
提交評(píng)論