計(jì)算機(jī)組成原理第六章答案_第1頁(yè)
計(jì)算機(jī)組成原理第六章答案_第2頁(yè)
計(jì)算機(jī)組成原理第六章答案_第3頁(yè)
計(jì)算機(jī)組成原理第六章答案_第4頁(yè)
計(jì)算機(jī)組成原理第六章答案_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1. 寫(xiě)出下列各數(shù)的原碼、反碼、補(bǔ)碼、移碼(用8位二進(jìn)制表示),其中MSB是最高位(符號(hào)位),LSB是最低位。如果是小數(shù),則小數(shù)點(diǎn)在MSB之后;如果是整數(shù),則小數(shù)點(diǎn)在LSB之后。    (1)-59/64        (2)27/128        (3)-127/128      (4)用小數(shù)表示-1    (5)用整數(shù)表示-1 

2、(6)-127          (7)35            (8)-128    2. 設(shè)x補(bǔ)=x0.x1x2x3x4,其中xi取0或1,若要使x0.5,則x0、x1、x2、x3、x4的取值應(yīng)滿(mǎn)足什么條件?    3. 若32位定點(diǎn)小數(shù)的最高位為符號(hào)位,用補(bǔ)碼表示,則所能表示的最大正數(shù)為    

3、60; ,最小正數(shù)為      ,最大負(fù)數(shù)為      ,最小負(fù)數(shù)為      ;若32位定點(diǎn)整數(shù)的最高位為符號(hào)位,用原碼表示,則所能表示的最大正數(shù)為      ,最小正數(shù)為      ,最大負(fù)數(shù)為      ,最小負(fù)數(shù)為      。&#

4、160;   4. 若機(jī)器字長(zhǎng)為32位,在浮點(diǎn)數(shù)據(jù)表示時(shí)階符占1位,階碼值占7位,數(shù)符占1位,尾數(shù)值占23位,階碼用移碼表示,尾數(shù)用原碼表示,則該浮點(diǎn)數(shù)格式所能表示的最大正數(shù)為      ,最小正數(shù)為      ,最大負(fù)數(shù)為      ,最小負(fù)數(shù)為      。    5. 某機(jī)浮點(diǎn)數(shù)字長(zhǎng)為18位,格式如圖2.35所示,已知階碼(含階符)用補(bǔ)碼表示

5、,尾數(shù)(含數(shù)符)用原碼表示。    (1)將(-1027)10表示成規(guī)格化浮點(diǎn)數(shù);    (2)浮點(diǎn)數(shù)(0EF43)16是否是規(guī)格化浮點(diǎn)數(shù)?它所表示的真值是多少?圖2.35  浮點(diǎn)數(shù)的表示格式    6. 有一個(gè)字長(zhǎng)為32位的浮點(diǎn)數(shù),格式如圖2.36所示,已知數(shù)符占1位;階碼占8位,用移碼表示;尾數(shù)值占23位,尾數(shù)用補(bǔ)碼表示。圖2.36  浮點(diǎn)數(shù)的表示格式    請(qǐng)寫(xiě)出:    (1)所能表示的最大正數(shù);  

6、;  (2)所能表示的最小負(fù)數(shù);    (3)規(guī)格化數(shù)所能表示的數(shù)的范圍。    7. 若浮點(diǎn)數(shù)x的IEEE754標(biāo)準(zhǔn)的32位存儲(chǔ)格式為(8FEFC000)16,求其浮點(diǎn)數(shù)的十進(jìn)制數(shù)值。    8. 將數(shù)(-7.28125)10轉(zhuǎn)換成IEEE754標(biāo)準(zhǔn)的32位浮點(diǎn)數(shù)的二進(jìn)制存儲(chǔ)格式。    9. 已知x=-0.x1x2xn,求證:x補(bǔ)=+0.0001。    10. 已知x補(bǔ)=1.x1x2x3x4x5x6,求證:x原=+0.000001

7、。    11. 已知x和y,用變形補(bǔ)碼計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=0.11011      y=-0.10101    (2)x=-10110       y=-00011    12. 已知x和y,用變形補(bǔ)碼計(jì)算x-y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=0.10111  

8、;    y=0.11011    (2)x=11011        y=-10011    13. 已知x補(bǔ)=1.1011000,y補(bǔ)=1.0100110,用變形補(bǔ)碼計(jì)算2x補(bǔ)+1/2y補(bǔ)=?,同時(shí)指出結(jié)果是否發(fā)生溢出。    14. 已知x和y,用原碼運(yùn)算規(guī)則計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=0.1011,y=-0.1110  

9、;  (2)x=-1101,y=-1010    15. 已知x和y,用原碼運(yùn)算規(guī)則計(jì)算x-y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=0.1101,y=0.0001    (2)x=0011,y=1110    16. 已知x和y,用移碼運(yùn)算方法計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=-1001,y=1101    (2)x=1101,y=1011  

10、60; 17. 已知x和y,用移碼運(yùn)算方法計(jì)算x-y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。    (1)x=1011,y=-0010    (2)x=-1101,y=-1010    18. 余3碼編碼的十進(jìn)制加法規(guī)則如下:兩個(gè)一位十進(jìn)制數(shù)的余3碼相加,如結(jié)果無(wú)進(jìn)位,則從和數(shù)中減去3(加上1101);如結(jié)果有進(jìn)位,則和數(shù)中加上3(加上0011),即得和數(shù)的余3碼。試設(shè)計(jì)余3碼編碼的十進(jìn)制加法器單元電路。     19. 已知x和y,分別用原碼一位乘法和補(bǔ)碼一位乘法計(jì)算x×

11、y。    (1)x=0.10111      y=-0.10011    (2)x=-11011       y=-11111    20. 已知x和y,分別用帶求補(bǔ)器的原碼陣列乘法器、帶求補(bǔ)器的補(bǔ)碼陣列乘法器和直接補(bǔ)碼陣列乘法器計(jì)算x×y。    (1)x=0.10111      y=-0.10011&

12、#160;   (2)x=-11011       y=-11111    21. 已知x和y,分別用原碼加減交替法和補(bǔ)碼加減交替法計(jì)算x÷y。    (1)x=0.10011      y=-0.11011    (2)x=-1000100101  y=-11101    22. 已知x和y,用原碼陣列除法器計(jì)算x÷

13、y。    (1)x=0.10011      y=-0.11011    (2)x=-1000100000  y=-11101    23. 設(shè)機(jī)器字長(zhǎng)為8位(含一位符號(hào)位),若x=46,y=-46,分別寫(xiě)出x、y的原碼、補(bǔ)碼和反碼表示的機(jī)器數(shù)在左移一位、左移兩位、右移一位和右移兩位后的機(jī)器數(shù)及對(duì)應(yīng)的真值。    24. 某加法器進(jìn)位鏈小組信號(hào)為C4C3C2C1,最低位來(lái)的進(jìn)位信號(hào)為C0,請(qǐng)分別按下述兩種方法寫(xiě)出C

14、4C3C2C1的邏輯表達(dá)式:    (1)串行進(jìn)位方式;    (2)并行進(jìn)位方式。    25. 用74181和74182設(shè)計(jì)如下三種方案的64位ALU。    (1)組間串行進(jìn)位方式;    (2)兩級(jí)組間并行進(jìn)位方式;    (3)三級(jí)組間并行進(jìn)位方式。    26. 設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位)。階碼和尾數(shù)均采用含雙符號(hào)位的補(bǔ)碼表示,運(yùn)算結(jié)果的尾數(shù)

15、取單字長(zhǎng)(含符號(hào)位共7位),舍入規(guī)則用“0舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算x+y、x-y。    (1)x=2-011×(0.100101)      y=2-010×(-0.011110)    (2)x=2-101×(-0.010110)      y=2-100×(0.010110)    27. 設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位),階碼

16、采用雙符號(hào)位的補(bǔ)碼表示,尾數(shù)用單符號(hào)位的補(bǔ)碼表示。要求用直接補(bǔ)碼陣列乘法完成尾數(shù)乘法運(yùn)算,運(yùn)算結(jié)果的尾數(shù)取單字長(zhǎng)(含符號(hào)位共7位),舍入規(guī)則用“0舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算x×y。    (1)x=2011×(0.110100)      y=2-100×(-0.100100)    (2)x=2-011×(-0.100111)      y=2101×(-0.101011)

17、0;   28. 設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位),階碼采用雙符號(hào)位的補(bǔ)碼表示,尾數(shù)用單符號(hào)位的原碼表示。要求用原碼陣列除法完成尾數(shù)除法運(yùn)算,運(yùn)算結(jié)果的尾數(shù)取單字長(zhǎng)(含符號(hào)位共7位),舍入規(guī)則用“0舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算x÷y。    (1)x=2-010×(0.011010)      y=2-111×(-0.111001)    (2)x=2011×(-0.101110) &#

18、160;    y=2101×(-0.111011)    29. 定點(diǎn)補(bǔ)碼加減法運(yùn)算中,產(chǎn)生溢出的條件是什么?溢出判斷的方法有哪幾種?如果是浮點(diǎn)加減運(yùn)算,產(chǎn)生溢出的條件又是什么?    30. 設(shè)有4個(gè)數(shù):00001111、11110000、00000000、11111111,請(qǐng)問(wèn)答:     (1)其碼距為多少?最多能糾正或發(fā)現(xiàn)多少位錯(cuò)?如果出現(xiàn)數(shù)據(jù)00011111,應(yīng)糾正成什么數(shù)?當(dāng)已經(jīng)知道出錯(cuò)位時(shí)如何糾正?    (2)如果再加

19、上2個(gè)數(shù)00110000,11001111(共6個(gè)數(shù)),其碼距是多少?能糾正或發(fā)現(xiàn)多少位錯(cuò)?    31. 如果采用偶校驗(yàn),下述兩個(gè)數(shù)據(jù)的校驗(yàn)位的值是什么?    (1)0101010      (2)0011011    32. 設(shè)有16個(gè)信息位,如果采用海明校驗(yàn),至少需要設(shè)置多少個(gè)校驗(yàn)位?應(yīng)放在哪些位置上?    33. 寫(xiě)出下列4位信息碼的CRC編碼,生成多項(xiàng)式為G(x)=x3+x2+1。  

20、0; (1)1000    (2)1111    (3)0001    (4)0000    34. 當(dāng)從磁盤(pán)中讀取數(shù)據(jù)時(shí),已知生成多項(xiàng)式G(x)=x3+x2+1,數(shù)據(jù)的CRC碼為1110110,試通過(guò)計(jì)算判斷讀出的數(shù)據(jù)是否正確?    35. 有一個(gè)7位代碼的全部碼字為:        a:0000000    b:0001011 &#

21、160;  c:0010110    d:0011101        e:0100111    f:0101100    g:0110001    h:0111010        i:1000101    j:1001110    k:1010011 &#

22、160;  l:1011000        m:1100010    n:1101001    o:1110100    p:1111111    (1)求這個(gè)代碼的碼距;    (2)這個(gè)代碼是不是CRC碼。參考答案  1. 數(shù)的各種機(jī)器碼表示見(jiàn)附表2.1。 附表2.1  數(shù)的各種機(jī)器碼表示    2. 應(yīng)滿(mǎn)足的條

23、件是:x0=0;當(dāng)x0=1時(shí),x1=1且x2、x3、x4不全為0。    3. 1-2-31;2-31;-2-31;-1;231-1;1;-1;-(231-1)    4. (1-2-23)×2127;2-151;-2-151;-(1-2-23)×2127    5. (1)(25C03)16       (2)是規(guī)格化浮點(diǎn)數(shù);它所表示的真值是1859×218    6. (1)(1-2

24、-23) ×2127       (2)-2127       (3)規(guī)格化數(shù)所能表示的正數(shù)的范圍:2-129(1-2-23)×2127;所能表示的負(fù)數(shù)的范圍:-2127-(2-1+2-23)×2-128    7. (-959×2-105)10    8. (C0E90000)16    9. 證明:因?yàn)閤0,按照定義,有  

25、  x補(bǔ)=2+x        =2-0.x1x2xn        =1+(1-0.x1x2xn)        =1+(0.1111-0.x1x2xn+0.0001)        =1+0.0001        =+0.0001&#

26、160;   10. 證明:因?yàn)閤補(bǔ)=1.x1x2x3x4x5x6,即x0,按照定義,有    x補(bǔ)=2+x=1.x1x2x3x4x5x6       x=1.x1x2x3x4x5x6-2       =-1+0.x1x2x3x4x5x6       =-(1-0.x1x2x3x4x5x6)       =-

27、(+0.000001)    因?yàn)閤0,按照定義,有    x原=1-x        =1+(+0.000001)        =+0.000001    11. (1)x+y補(bǔ)=00.00110,x+y=0.00110,運(yùn)算結(jié)果未發(fā)生溢出        (2)x+y補(bǔ)=1100111,x+y=

28、-11001,運(yùn)算結(jié)果未發(fā)生溢出    12. (1)x-y補(bǔ)=11.11100,x-y=-0.00100,運(yùn)算結(jié)果未發(fā)生溢出        (2)x-y補(bǔ)=0101110,運(yùn)算結(jié)果發(fā)生正溢    13. 2x補(bǔ)+1/2y補(bǔ)=11.0000011,運(yùn)算結(jié)果未發(fā)生溢出    14. (1)x+y原=1.0011,x+y=-0.0011,運(yùn)算結(jié)果未發(fā)生溢出        

29、(2)因?yàn)橥瓿蓔x|+|y|操作且操作結(jié)果的符號(hào)位為1,被加數(shù)為負(fù)數(shù),所以運(yùn)算結(jié)果發(fā)生負(fù)溢。    15. (1)x-y原=0.1100,x-y=0.1100,運(yùn)算結(jié)果未發(fā)生溢出        (2)x-y原=11011,x-y=-1011,運(yùn)算結(jié)果未發(fā)生溢出    16. (1)x+y移=010100,x+y=0100,運(yùn)算結(jié)果未發(fā)生溢出        (2)x+y移=101000,運(yùn)算結(jié)果發(fā)生

30、正溢    17. (1)x-y移=011101,x-y=1101,運(yùn)算結(jié)果未發(fā)生溢出        (2)x-y移=001101,x-y=-0011,運(yùn)算結(jié)果未發(fā)生溢出    18. 余3碼編碼的十進(jìn)制加法器單元電路如附圖2.1所示。附圖2.1  余3碼編碼的十進(jìn)制加法器單元電路    19. (1)x×y原=1.0110110101,x×y=-0.0110110101   

31、        x×y補(bǔ)=1.1001001011,x×y=-0.0110110101        (2)x×y原=01101000101,x×y=+1101000101           x×y補(bǔ)=01101000101,x×y=+1101000101    20

32、. (1)帶求補(bǔ)器的原碼陣列乘法器             x×y原=1.0110110101,x×y=-0.0110110101           帶求補(bǔ)器的補(bǔ)碼陣列乘法器             x×y補(bǔ)=1.1001001

33、011,x×y=-0.0110110101           直接補(bǔ)碼陣列乘法器             x×y補(bǔ)=1.1001001011,x×y=-0.0110110101        (2)帶求補(bǔ)器的原碼陣列乘法器     

34、60;       x×y原=01101000101,x×y=+1101000101           帶求補(bǔ)器的補(bǔ)碼陣列乘法器             x×y補(bǔ)=01101000101,x×y=+1101000101     

35、      直接補(bǔ)碼陣列乘法器             x×y補(bǔ)=01101000101,x×y=+1101000101    21. (1)原碼加減交替法             x÷y原=1.10110,余數(shù)原=0.0000001110

36、             x÷y=-0.10110,余數(shù)=0.0000001110           補(bǔ)碼加減交替法             x÷y補(bǔ)=1.01001,余數(shù)補(bǔ)=1.1111110011   

37、         x÷y=-0.10111,余數(shù)=-0.0000001101        (2)原碼加減交替法             x÷y原=010010,余數(shù)原=111011          

38、0;  x÷y=+10010,余數(shù)=-11011           補(bǔ)碼加減交替法             x÷y補(bǔ)=010011,余數(shù)補(bǔ)=000010             x÷y=+10011,余數(shù)=+

39、00010    22. (1)x÷y原=1.10110,余數(shù)原=0.0000110011           x÷y=-0.10110,余數(shù)=0.0000110011        (2)x÷y原=010010,余數(shù)原=111001           x÷

40、y=+10010,余數(shù)=-11001    23. (1)x=46=(101110)2    x的三種機(jī)器碼表示及移位結(jié)果如附表2.2所示。附表2.2  對(duì)x=46算術(shù)移位后的結(jié)果    (2)y=-46=(-101110)2    y的三種機(jī)器碼表示及移位結(jié)果如附表2.3所示。附表2.3  對(duì)y=-46算術(shù)移位后的結(jié)果    24. (1)串行進(jìn)位方式     &#

41、160;  C1=G0+P0C0        C2=G1+P1C1        C3=G2+P2C2        C4=G3+P3C3    (2)并行進(jìn)位方式        C1=G0+P0C0      

42、60; C2=G1+G0P1+P0P1C0        C3=G2+G1P2+G0P1P2+P0P1P2C0        C4=G3+G2P3+G1P2P3+G0P1P2P3+P0P1P2P3C0    25. (1)組間串行進(jìn)位方式的ALU如附圖2.2所示。附圖2.2  組間串行進(jìn)位方式的ALU    (2)兩級(jí)組間并行進(jìn)位方式的ALU如附圖2.3所示。附圖2.3  兩級(jí)

43、組間并行進(jìn)位方式的ALU    (3)三級(jí)組間并行進(jìn)位方式的ALU如附圖2.4所示。附圖2.4  三級(jí)組間并行進(jìn)位方式的ALU    26. (1)x+y浮=11100,11.010010,x-y浮=11110,00.110001,和、差均無(wú)溢出           x+y=2-100×(-0.101110),x-y=2-010×(0.110001)     

44、0;  (2)x+y浮=11010,00.101100,x-y浮=11100,11.011111,和、差均無(wú)溢出           x+y=2-110×(0.101100),x-y=2-100×(-0.100001)    27. (1)x×y浮=11110,1.000110,乘積無(wú)溢出           x×y=2-010×(-0.111010)        (2)x×y浮=00001,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論