多路溫度巡回檢測(cè)電路設(shè)計(jì)報(bào)告_第1頁(yè)
多路溫度巡回檢測(cè)電路設(shè)計(jì)報(bào)告_第2頁(yè)
多路溫度巡回檢測(cè)電路設(shè)計(jì)報(bào)告_第3頁(yè)
多路溫度巡回檢測(cè)電路設(shè)計(jì)報(bào)告_第4頁(yè)
多路溫度巡回檢測(cè)電路設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩17頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、課程設(shè)計(jì)(論文)題 目 名 稱(chēng) 多點(diǎn)巡回檢測(cè)電路 課 程 名 稱(chēng) 電子技術(shù)課程設(shè)計(jì) 學(xué) 生 姓 名 陳逸 學(xué) 號(hào) 1141201095 系 、專(zhuān) 業(yè) 電氣工程系 指 導(dǎo) 教 師 劉祥民老師 2013年12 月22日邵陽(yáng)學(xué)院課程設(shè)計(jì)(論文)評(píng)閱表學(xué)生姓名 陳逸 學(xué) 號(hào) 1141201095 系 電氣系 專(zhuān)業(yè)班級(jí) 11電二 題目名稱(chēng) 多點(diǎn)巡回檢測(cè)電路 課程名稱(chēng) 電子技術(shù)課程設(shè)計(jì) 一、學(xué)生自我總結(jié)兩個(gè)星期的課程設(shè)計(jì)終于完了,此次的課程設(shè)計(jì)讓我感觸很多,不僅僅是知識(shí)上的學(xué)習(xí)和掌握,同時(shí)也讓我明白了很多做人的道理。 在開(kāi)始階段,老師讓我們了解一些基本知識(shí),當(dāng)自己照著學(xué)習(xí)指導(dǎo)上的內(nèi)容完成了一個(gè)課題時(shí)那種心

2、情很棒,實(shí)驗(yàn)往往是一個(gè)苦中有樂(lè)的過(guò)程,我希望在以后的實(shí)驗(yàn)學(xué)習(xí)中自己能獨(dú)立思考,同時(shí)也要認(rèn)真去完成,這樣既能學(xué)到知識(shí),也能讓自己的實(shí)踐操作得到鍛煉。 我要感謝我們這個(gè)團(tuán)隊(duì)的人員,他們幫我學(xué)到了很多,同時(shí)也付出了很多,也感謝老師的細(xì)心指導(dǎo),讓我們順利的完成了課程設(shè)計(jì)。 學(xué)生簽名: 年 月 日二、指導(dǎo)教師評(píng)定評(píng)分項(xiàng)目平時(shí)成績(jī)論文答辯綜合成績(jī)權(quán) 重304030單項(xiàng)成績(jī)指導(dǎo)教師評(píng)語(yǔ): 指導(dǎo)教師(簽名): 年 月 日注:1、本表是學(xué)生課程設(shè)計(jì)(論文)成績(jī)?cè)u(píng)定的依據(jù),裝訂在設(shè)計(jì)說(shuō)明書(shū)(或論文)的“任務(wù)書(shū)”頁(yè)后面;2、表中的“評(píng)分項(xiàng)目”及“權(quán)重”根據(jù)各系的考核細(xì)則和評(píng)分標(biāo)準(zhǔn)確定。邵陽(yáng)學(xué)院課程設(shè)計(jì)(論文)任務(wù)書(shū)

3、年級(jí)專(zhuān)業(yè)11級(jí)電氣工程及其自動(dòng)化學(xué)生姓名陳逸學(xué) 號(hào)1141201095題目名稱(chēng)多點(diǎn)巡回檢測(cè)電路設(shè)計(jì)時(shí)間2013.12.92013.12.20課程名稱(chēng) 電子技術(shù)課程設(shè)計(jì)課程編號(hào)121202306設(shè)計(jì)地點(diǎn)電工電子實(shí)驗(yàn)室408、409一、課程設(shè)計(jì)(論文)目的電子技術(shù)課程設(shè)計(jì)是電氣工程及自動(dòng)化專(zhuān)業(yè)的一個(gè)重要的實(shí)踐性教學(xué)環(huán)節(jié),是對(duì)已學(xué)模擬電子技術(shù)、數(shù)字電子技術(shù)知識(shí)的綜合性訓(xùn)練,這種訓(xùn)練是通過(guò)學(xué)生獨(dú)立進(jìn)行某一課題的設(shè)計(jì)、安裝和調(diào)試來(lái)完成,著重培養(yǎng)學(xué)生工程實(shí)踐的動(dòng)手能力、創(chuàng)新能力和進(jìn)行綜合設(shè)計(jì)的能力,并要求能設(shè)計(jì)出完整的電路或產(chǎn)品,從而為以后從事電子電路設(shè)計(jì)、研制電子產(chǎn)品奠定堅(jiān)實(shí)的基礎(chǔ)。二、已知技術(shù)參數(shù)和條

4、件用中小規(guī)模集成芯片和電子元器件設(shè)計(jì)并制作一交通燈控制電路,具體要求如下:1、要求東西方向車(chē)道和南北方向車(chē)道兩條交叉道路上的車(chē)輛交替運(yùn)行,每次通行時(shí)間都設(shè)為45s,時(shí)間可設(shè)置修改。2、在綠燈轉(zhuǎn)為紅燈時(shí),要求黃燈先亮5s,才能變換運(yùn)行車(chē)道。3、黃燈亮?xí)r,要求每秒閃亮一次。4、東西,南北方向的車(chē)道除了有紅、綠、黃燈指示外,每一種燈亮的時(shí)間都用顯示器進(jìn)行顯示(采用倒計(jì)時(shí)的方式)。5、同步設(shè)置人行橫道紅、綠燈指示。三、 任務(wù)和要求1. 按學(xué)校規(guī)定的格式編寫(xiě)設(shè)計(jì)論文。2. 論文主要內(nèi)容有:課題名稱(chēng)。設(shè)計(jì)任務(wù)和要求。方案選擇與論證。方案的原理框圖,系統(tǒng)電路圖,以及運(yùn)行說(shuō)明;單元電路設(shè)計(jì)與計(jì)算說(shuō)明;元器件選

5、擇和電路參數(shù)計(jì)算的說(shuō)明等。必須用proteus或其它仿真軟件對(duì)設(shè)計(jì)電路仿真調(diào)試。對(duì)調(diào)試中出現(xiàn)的問(wèn)題進(jìn)行分析,并說(shuō)明解決的措施;測(cè)試、記錄、整理與結(jié)果分析。收獲體會(huì)、存在問(wèn)題和進(jìn)一步的改進(jìn)意見(jiàn)等。注:1此表由指導(dǎo)教師填寫(xiě),經(jīng)系、教研室審批,指導(dǎo)教師、學(xué)生簽字后生效;2此表1式3份,學(xué)生、指導(dǎo)教師、教研室各1份。四、參考資料和現(xiàn)有基礎(chǔ)條件(包括實(shí)驗(yàn)室、主要儀器設(shè)備等)胡宴如 主編.模擬電子技術(shù)基礎(chǔ).高等教育出版社.2011年張克農(nóng) 主編.數(shù)字電子技術(shù). 高教出版社出版.第一版.2010年彭介華 主編.電子技術(shù)課程設(shè)計(jì)指導(dǎo).高教出版社出版.第一版.2002年電子電工實(shí)驗(yàn)指導(dǎo)書(shū)電子電工實(shí)驗(yàn)室可以提供的

6、主要儀器設(shè)備:示波器型號(hào)規(guī)格VP-5220、電子學(xué)習(xí)機(jī)型號(hào)規(guī)格WL-V、萬(wàn)用表MF10;以及分立元件、或中規(guī)模集成芯片。五、進(jìn)度安排2013年12月9日-10日:收集和課程設(shè)計(jì)有關(guān)的資料,熟悉課題任務(wù)和要求;2013年12月11日:總體方案設(shè)計(jì),方案比較,選定方案;2013年12月12日-15日:?jiǎn)卧娐吩O(shè)計(jì),參數(shù)計(jì)算,元器件選擇,整體電路圖;2013年12月16日-17日:系統(tǒng)仿真調(diào)試改進(jìn); 2013年12月18日-19日:整理撰寫(xiě)設(shè)計(jì)論文;2013年12月20日:答辯六、教研室審批意見(jiàn)設(shè)計(jì)目的明確,要求合理,難度適中,符合課程設(shè)計(jì)教學(xué)要求。教研室主任(簽字): 2013年11月20日七、主

7、管教學(xué)主任意見(jiàn)符合課程設(shè)計(jì)要求 主管主任(簽字): 2013年11月20日八、備注指導(dǎo)教師(簽字): 學(xué)生(簽字): 摘要本文敘述的是一個(gè)八路溫度信號(hào)進(jìn)行巡回檢測(cè)電路設(shè)計(jì)。本電路包括多路振蕩器電路,LED測(cè)量點(diǎn)顯示電路,巡回檢測(cè)電路,傳感器電路五部分組成。在傳感器電路中使用的溫度傳感器把被檢測(cè)信號(hào)變?yōu)殡妷盒盘?hào),用模擬比較器把被檢測(cè)信號(hào)進(jìn)行處理,直接用數(shù)字電壓表測(cè)出與溫度值對(duì)應(yīng)的電壓值,并顯示出該點(diǎn)是“第幾路檢測(cè)點(diǎn)”。本設(shè)計(jì)電路簡(jiǎn)單,可在生活生產(chǎn)中廣泛使用。關(guān)鍵字:傳感器;溫度檢測(cè);巡回檢測(cè)目錄摘要1 概述72 方案論證73 電路設(shè)計(jì)93.1 時(shí)鐘發(fā)生電路93.2 八進(jìn)制循環(huán)發(fā)生電路93.3 L

8、ED 數(shù)字顯示103.4 溫度采集陣列113.5 八通道模擬開(kāi)關(guān)電路134 性能的測(cè)試145 結(jié)論、性?xún)r(jià)比176 課設(shè)體會(huì)及合理化建議17參考文獻(xiàn)18附錄19附錄201、概述本課題要求用電子電路實(shí)現(xiàn)八路通道溫度電壓有規(guī)律的選擇、測(cè)量。課題涉及了涉及了555定時(shí)器、數(shù)字計(jì)數(shù)器的循環(huán)計(jì)數(shù)、LED數(shù)字驅(qū)動(dòng)電路以及電子芯片模擬開(kāi)關(guān)的使用。本課題的測(cè)溫范圍為0到100,通過(guò)對(duì)元器件的調(diào)整同樣可以進(jìn)行更大范圍、更多通道的溫度測(cè)量選擇。本方案測(cè)量精度高、切換速度快、管理方便并可在很多領(lǐng)域得到更好的應(yīng)用。課題要求電路要測(cè)量至少8點(diǎn)的溫度,首先將溫度轉(zhuǎn)換為直流電壓,由數(shù)字電壓表(DvM)直接讀取,該讀數(shù)正比于測(cè)

9、量點(diǎn)的溫度。另同時(shí)要通過(guò)計(jì)數(shù)、譯碼、顯示電路顯示出是“第幾路測(cè)點(diǎn)”。測(cè)溫范圍為0到100;測(cè)量的相對(duì)誤差1。本課題的設(shè)計(jì)結(jié)果可應(yīng)用于需要對(duì)多溫度進(jìn)行監(jiān)控的領(lǐng)域,方便統(tǒng)一控制,在經(jīng)過(guò)集成后可以制作成定時(shí)開(kāi)關(guān)電路,接入壓力、聲音等傳感器可以實(shí)現(xiàn)更多信息的巡回檢測(cè)監(jiān)控。2、方案論證方案一 如圖2-1:測(cè)溫模塊一測(cè)溫模塊二測(cè)溫模塊N555單穩(wěn)態(tài)觸發(fā)控制電路555單穩(wěn)態(tài)觸發(fā)控制電路555單穩(wěn)態(tài)觸發(fā)控制電路計(jì)數(shù)累加顯示器電壓顯示溫度顯示圖2-1 多路溫度巡回檢測(cè)電路的原理框圖(方案一)方案一原理說(shuō)明:在開(kāi)啟電路后由開(kāi)關(guān)脈沖激活第一個(gè)555單穩(wěn)態(tài)觸發(fā)器,在有效時(shí)間內(nèi)測(cè)溫模塊通過(guò)控制電路與電壓表接通,溫度通過(guò)

10、電壓表顯示,計(jì)數(shù)器置零,LED顯示當(dāng)前通道編號(hào)即數(shù)字“0”。在555有效信號(hào)停止時(shí)由其觸發(fā)下一個(gè)555單穩(wěn)態(tài)觸發(fā)器,使模塊二與電壓表連接,計(jì)數(shù)器加一后顯示當(dāng)前通道編號(hào)并由電壓表顯示模塊二的電壓,以此類(lèi)推,直到最后一個(gè)通道的觸發(fā)器關(guān)閉時(shí)觸發(fā)通道0,計(jì)數(shù)器重新置零,開(kāi)始新一輪的巡回檢測(cè)。方案二 如圖2-2: 時(shí)鐘發(fā)生器(555定時(shí)器)八進(jìn)制循環(huán)發(fā)生電路LED數(shù)字顯示器溫度傳感器陣列八通道模擬開(kāi)關(guān)電路溫度指示(電壓表)圖2-2 多路溫度巡回檢測(cè)電路的原理框圖(方案二)方案二原理說(shuō)明:電路開(kāi)啟后有555定時(shí)器每隔一段時(shí)間產(chǎn)生一個(gè)一定時(shí)間的脈沖,控制八進(jìn)制循環(huán)發(fā)生電路產(chǎn)生對(duì)應(yīng)的開(kāi)通信號(hào)到模擬開(kāi)關(guān)電路,L

11、ED顯示當(dāng)前有效地通道編號(hào)。八個(gè)模擬開(kāi)關(guān)在同一時(shí)間只有一個(gè)開(kāi)通,使電壓表測(cè)出對(duì)應(yīng)的溫度傳感器的電壓,進(jìn)而顯示所選通道對(duì)應(yīng)傳感器的溫度。 方案對(duì)比: 方案一的模塊化較明顯,通過(guò)每一個(gè)555單穩(wěn)態(tài)觸發(fā)器控制該通道顯示的時(shí)間,同時(shí)在通道關(guān)斷時(shí)激活下一路的觸發(fā)控制電路。該方案可以很方便的控制每一個(gè)通道的溫度顯示間隔,并且在添加測(cè)溫通道時(shí)可以以模塊的方式進(jìn)行添加,相對(duì)比較方便,但成本相對(duì)高,維護(hù)也更加繁瑣。 方案二簡(jiǎn)單實(shí)用,通過(guò)調(diào)節(jié)555定時(shí)器可以方便的控制通道間切換的時(shí)間間隔,并通過(guò)電壓表對(duì)溫度進(jìn)行顯示。電路較簡(jiǎn)單成本相對(duì)較低。但對(duì)于要額外增加測(cè)溫通道的電路實(shí)際改動(dòng)可能比較復(fù)雜。 綜合比較,在使用固定

12、通道數(shù)的溫度巡回檢測(cè)系統(tǒng)中采用方案二比較經(jīng)濟(jì)實(shí)惠,同時(shí)更方便維護(hù)3、電路設(shè)計(jì)3.1 時(shí)鐘發(fā)生電路(555多諧振蕩器)本電路擬定電壓表測(cè)量電壓足夠快,5秒時(shí)間可以使采集人員記錄到穩(wěn)定的電壓度數(shù)(溫度)。對(duì)于電路,要求555多諧振蕩器每隔5s產(chǎn)生一個(gè)下降沿信號(hào),即頻率為0.2Hz。根據(jù)555觸發(fā)器的相關(guān)推導(dǎo)公式:=令周期T = 5s,占空比q= 60%,設(shè)= 100K 解得相關(guān)參數(shù):= 100K;= 200K;c= 14.43F電路圖如圖3-1圖3-1時(shí)鐘發(fā)生電路3.2 八進(jìn)制循環(huán)發(fā)生電路八進(jìn)制循環(huán)發(fā)生器是對(duì)74LS160 十進(jìn)制計(jì)數(shù)芯片的一種修改方案,對(duì)其輸出信號(hào)進(jìn)行判斷。循環(huán)采用同步置數(shù),由0

13、7 進(jìn)行循環(huán),當(dāng)輸出 Q=0111(7)時(shí),則對(duì)LOAD 端置低電平,使計(jì)數(shù)器置0000(0)。同時(shí)Q1、Q2、Q3 輸出則為輸出計(jì)數(shù)對(duì)應(yīng)的二進(jìn)制碼。因?yàn)殡娐沸枰? 路控制信號(hào)以控制模擬開(kāi)關(guān)的工作,顯然需要將二進(jìn)制碼轉(zhuǎn)換為單一的控制信號(hào)。采用3 線(xiàn)-8 線(xiàn)譯碼器74LS138 進(jìn)行譯碼。對(duì)其輸出信號(hào)取反后以高電平為有效控制開(kāi)關(guān)電路的開(kāi)通與關(guān)斷。電路圖如圖3-2圖3-2八進(jìn)制循環(huán)發(fā)生電路3.3 LED 數(shù)字顯示本部分采用7448 顯示譯碼器驅(qū)動(dòng)電路,LED 顯示部分采用共陰極顯示模塊,通過(guò)上拉電阻對(duì)電壓分壓并對(duì)顯示部分供電。經(jīng)查詢(xún)模塊特性,得知LED 顯示模塊的工作電壓為1.66V,工作電流為1

14、0mA。上拉電阻計(jì)算=334 7448 的ABC 輸入端分別接160LED的計(jì)數(shù)輸出,D 懸空,完成對(duì)160 計(jì)數(shù)的同步顯示。電路圖如圖3-3圖3-3 LED數(shù)字顯示驅(qū)動(dòng)電路3.4 溫度采集陣列溫度采集器采用TMP36 溫度傳感器,其采集區(qū)間為-40+125,符合要求通過(guò)查詢(xún)硬件手冊(cè)得知該傳感器的具體參數(shù)如下:表3-1 TMP36 溫度傳感器具體參數(shù)初始電壓輸出電壓/溫度25時(shí)輸出電壓線(xiàn)性工作區(qū)間TMP360.5V10mV/750mV-40+125對(duì)于要求的0100溫度采集器TMP36 的輸出電平為500mV1500mV,可以使用電壓表對(duì)電壓值進(jìn)行觀察記錄,為了方便用戶(hù)進(jìn)行直接觀測(cè),并且對(duì)溫度

15、采集器相關(guān)電路進(jìn)行緩沖,提高較遠(yuǎn)距離的帶載能力,在溫度采集器的輸出端接入由運(yùn)算放大器構(gòu)成的電壓加減器,利用集成運(yùn)算放大器輸入阻抗高和輸出阻抗低的特點(diǎn),使測(cè)量端與輸出端隔離,保證輸出的穩(wěn)定性。對(duì)于運(yùn)算放大器的選擇我選用了LM324 系列運(yùn)算放大器,其是一種價(jià)格便宜的帶有真差動(dòng)輸入的四運(yùn)算放大器。與單電源應(yīng)用場(chǎng)合的標(biāo)準(zhǔn)運(yùn)算放大器相比,它們有一些顯著優(yōu)點(diǎn)。該四放大器可以工作在低到3.0 伏或者高到32 伏的電源下,靜態(tài)電流為MC1741 的靜態(tài)電流的五分之一。共模輸入范圍包括負(fù)電源,因而消除了在許多應(yīng)用場(chǎng)合中采用外部偏置元件的重要性。根據(jù)運(yùn)算放大器的相關(guān)特性構(gòu)成加減法電路,其運(yùn)算公式如下:令為電壓信

16、號(hào)輸出(01000mV), 為T(mén)MP36 的電壓輸入(5001500mV),為了使顯示更加直觀將輸入端減去500mV 作為輸出,為比較電壓輸入(5V),完成500mV 的產(chǎn)生。由于在應(yīng)用中,沒(méi)有負(fù)電壓產(chǎn)生,所以集成運(yùn)算放大器不需要負(fù)電壓輸入,將其負(fù)電壓引腳接地。用相關(guān)參數(shù)解方程,最終得;。電路圖如圖34:圖3-4電壓加減器電路加入溫度傳感器后形成圖如圖3-5圖3-5加入溫度傳感器的電路圖3.5 八通道模擬開(kāi)關(guān)電路模擬開(kāi)關(guān)采用CD4066 芯片,具體參數(shù)如下:表32 CD4066 參數(shù)特性表電源電壓VDD輸入電壓Vi儲(chǔ)存溫度范圍Ts開(kāi)關(guān)控制端CD4066-0.518V-0.5VDD+0.5V-6

17、5+150IN按照設(shè)計(jì)參數(shù),輸入的電壓范圍為+0.5+1.5V,所以輸入電壓采用5V 電源即可保證輸入輸出的穩(wěn)定。對(duì)于要求的電路使用八輸入端并在控制信號(hào)下向同一端輸出電壓即可完成要求。對(duì)于最終的電壓表顯示,因?yàn)檩敵鰷囟仍O(shè)計(jì)要求為0+100,而溫度傳感器經(jīng)電壓跟隨器后的輸出電壓對(duì)應(yīng)為5001500mV,所以在隨后電壓表的測(cè)量中用500mV(實(shí)際電路中可以使用9K與1K電阻進(jìn)行分壓以避免添加額外的電壓源)的電壓代替地線(xiàn)的零電位,達(dá)到01000mV 的輸出電壓,輸出比例為10mV/。設(shè)計(jì)的電路如圖3-6圖3-6八通道電子模擬開(kāi)關(guān)電路及電壓顯示部分4、性能的測(cè)試對(duì)總電路進(jìn)行性能測(cè)試,因?yàn)闇囟葌鞲衅鳠o(wú)法

18、正常模擬,使用對(duì)等的電壓源代替,根據(jù)溫度傳感器特性,以500mV 為0、1500mV 為100進(jìn)行性能測(cè)試,性能測(cè)試電路圖如圖4-1圖4-1性能測(cè)試用電路圖用示波器(XSC1)對(duì)555定時(shí)器發(fā)出的時(shí)鐘波形進(jìn)行檢測(cè)得圖4-2的波形:圖4-2 555定時(shí)器時(shí)鐘波形得知每隔0.15s產(chǎn)生一個(gè)時(shí)鐘信號(hào)輸給八進(jìn)制計(jì)數(shù)器,符合設(shè)計(jì)要求。2、使用邏輯分析儀檢測(cè)74HC138經(jīng)過(guò)反相器反相后的譯碼結(jié)果(10KHz脈沖輸入),得到如圖4-3的波形圖:圖4-3 74HC138經(jīng)過(guò)反相器反相后的譯碼結(jié)果分析波形可知同一時(shí)間只有一個(gè)輸出端為高電平,且隨時(shí)間依次下移,可以控制模擬開(kāi)關(guān)的輪流開(kāi)通、關(guān)斷,符合設(shè)計(jì)要求。觀察

19、示波器得到如圖4-4 的波形:圖4-4 電壓加減電路輸入輸出波形計(jì)算876mV-376.423mV=499.577mV,符合500mV 的降壓要求。表4-1 多路溫度巡回檢測(cè)電路測(cè)試數(shù)據(jù)表LED顯示所選通道電壓源的電壓理論溫度()電壓表測(cè)量電壓實(shí)測(cè)溫度()誤差(%)01500mv1001000mv100011300mv80803mv80.30.321200mv70668mv66.80.231000mv50500mv50.10.14900mv40400mv4005800mv30301mv30.50.16700mv20200mv2007500mv030.37pv0.0030.3%5、結(jié)論、性?xún)r(jià)比通

20、過(guò)對(duì)仿真實(shí)驗(yàn)?zāi)M結(jié)果的觀察,可以得知本設(shè)計(jì)電路完全符合課程設(shè)計(jì)要求。其誤差小于0.1,經(jīng)過(guò)電路排查得知其誤差產(chǎn)生原因?yàn)榧蛇\(yùn)算放大器產(chǎn)生的誤差,在忽略成本的條件下,完全可以采用差分放大器進(jìn)行跟隨或者放大,通過(guò)修改CD4066芯片的規(guī)格可以使通過(guò)的電壓更大,即可以使用差分放大器進(jìn)行精確放大,達(dá)到更高的精確度。本電路以使用集成數(shù)字芯片為主,模塊明晰,方便連接與修理,性?xún)r(jià)比較高。6、課設(shè)體會(huì)及合理化建議通過(guò)此次實(shí)驗(yàn),我對(duì)匯編語(yǔ)言的理解和使用有了一個(gè)更為深入的認(rèn)識(shí)。這次實(shí)習(xí)有機(jī)地結(jié)合了理論與實(shí)踐,既考察了我們對(duì)理論知識(shí)的掌握情況,還反映出我們實(shí)際動(dòng)手能力,更主要的是它激起我們創(chuàng)新思維,為今后的進(jìn)一步學(xué)習(xí)創(chuàng)下良好條件,為以后的就業(yè)也打下一個(gè)根基,真可謂一舉多得。開(kāi)始的時(shí)候由于沒(méi)有經(jīng)驗(yàn),不知如何下手,所以就去圖書(shū)管找了一些書(shū)看,在課程設(shè)計(jì)過(guò)程中,我遇到了一些問(wèn)題,在老師的幫助下,通過(guò)查詢(xún)資料和結(jié)合平時(shí)學(xué)到的知識(shí),問(wèn)題得到了解決,無(wú)疑,這是對(duì)我分析和解決問(wèn)題的能力的一次有效檢驗(yàn)。盡管經(jīng)歷了不少的艱辛,但給我積累了一點(diǎn)設(shè)計(jì)的經(jīng)驗(yàn),最后也有點(diǎn)小小的成就感。后面的路還很長(zhǎng),我還要努力! 希望今后能夠

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論