北郵數(shù)字電路實(shí)驗(yàn)報(bào)告_第1頁
北郵數(shù)字電路實(shí)驗(yàn)報(bào)告_第2頁
北郵數(shù)字電路實(shí)驗(yàn)報(bào)告_第3頁
北郵數(shù)字電路實(shí)驗(yàn)報(bào)告_第4頁
北郵數(shù)字電路實(shí)驗(yàn)報(bào)告_第5頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 .北京郵電大學(xué)數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)名稱:QuartusII原理圖輸入 法設(shè)計(jì)與實(shí)現(xiàn) 學(xué) 院:北京郵電大學(xué) 班 級(jí): 姓 名: 學(xué) 號(hào): 一 實(shí)驗(yàn)名稱和實(shí)驗(yàn)任務(wù)要求實(shí)驗(yàn)名稱:QuartusII原理圖輸入法設(shè)計(jì)與實(shí)現(xiàn)實(shí)驗(yàn)?zāi)康模菏煜び肣uartusII原理圖輸入法進(jìn)行電路設(shè)計(jì)和仿真。 掌握QuartusII圖形模塊單元的生成與調(diào)用; 熟悉實(shí)驗(yàn)板的使用。實(shí)驗(yàn)任務(wù)要求:掌握QuartusII的基礎(chǔ)上,利用QuartusII用邏輯門設(shè)計(jì)實(shí)現(xiàn)一個(gè)半加器,生成新的半加器圖像模塊。 用實(shí)驗(yàn)內(nèi)容(1)中生成的半加器模塊以及邏輯門實(shí)現(xiàn)一個(gè)全加器,仿真驗(yàn)證其功能,并能下載到實(shí)驗(yàn)板上進(jìn)行測(cè)試,要求用撥碼

2、開關(guān)設(shè)定輸入信號(hào),發(fā)光二級(jí)管顯示輸出信號(hào)。 用3線8線譯碼器(74L138)和邏輯門實(shí)現(xiàn)要求的函數(shù):,仿真驗(yàn)證其功能,并能下載到實(shí)驗(yàn)板上進(jìn)行測(cè)試,要求用撥碼開關(guān)設(shè)定輸入信號(hào),發(fā)光二級(jí)管顯示輸出信號(hào)。二設(shè)計(jì)思路和過程半加器的設(shè)計(jì)實(shí)現(xiàn)過程:半加器的應(yīng)有兩個(gè)輸入值,兩個(gè)輸出值。a表示加數(shù),b表示被加數(shù),s表示半加和,co表示向高位的進(jìn)位。 由數(shù)字電路與邏輯設(shè)計(jì)理論知識(shí)可知; 選擇兩個(gè)邏輯門:異或門和與門。a,b為異或門和與門的輸入,S為異或門的輸出,C為與門的輸出。(3) 利用QuartusII仿真實(shí)現(xiàn)其邏輯功能,并生成新的半加器圖形模塊單元。(4) 下載到電路板,并檢驗(yàn)是否正確。全加器的設(shè)計(jì)實(shí)現(xiàn)過

3、程:全加器可以由兩個(gè)半加器和一個(gè)或門構(gòu)成。全加器有三個(gè)輸入值a,b,ci,兩個(gè)輸出值s,co:a為被加數(shù),b為加數(shù),ci為低位向高位的進(jìn)位。全加器的邏輯表達(dá)式為: 利用全加器的邏輯表達(dá)式和半加器的邏 輯功能,實(shí)現(xiàn)全加器。用3線8線譯碼器(74L138)和邏輯門設(shè)計(jì)實(shí)現(xiàn)函數(shù)設(shè)計(jì)實(shí)現(xiàn)過程:利用QuartusII選擇譯碼器(74L138)的圖形模塊單元。 函數(shù) 可以通過譯碼器(74L138)和一個(gè)與非門實(shí)現(xiàn)。將譯碼器輸出端y0,y2,y4,y7作為輸入端接到與非門即可實(shí)現(xiàn)函數(shù)。三實(shí)驗(yàn)原理圖半加器的原理圖:全加器的原理圖:用3線8線譯碼器(74L138)和邏輯門設(shè)計(jì)實(shí)現(xiàn)函數(shù):四仿真波形圖半加器的仿真波

4、形圖:全加器的仿真波形圖:3線8線譯碼器(74L138)和邏輯門設(shè)計(jì)實(shí)現(xiàn)函數(shù)的仿真波形圖:五仿真波形圖分析半加器仿真波形圖分析: 當(dāng)半加器的2個(gè)輸入端都輸入0時(shí),即a=b=0時(shí),則有輸出:半加和s=0,進(jìn)位端co=0。 當(dāng)半加器2個(gè)輸入端有一個(gè)為1時(shí),即a=1,b=0 或a=0,b=1時(shí),則有輸出:半加和s=1,進(jìn)位端co=0。 當(dāng)半加器2個(gè)輸入端都為1時(shí),即a=b=1時(shí),則有輸出半加和s=0,進(jìn)位端進(jìn)位co=1。 值得注意的是,半加器的仿真波形中出現(xiàn)了冒險(xiǎn)。全加器仿真波形圖的分析: 當(dāng)全加器a,b 2個(gè)輸入端都輸入都為0,若低位進(jìn)位ci為0,即輸出為s=co=0。若低位進(jìn)位為1,則輸出s=1

5、,co=0。 當(dāng)全加器2個(gè)輸入端有一個(gè)輸入為1,即a=1,b=0或即a=0,b=1若低位進(jìn)位為0,即ci=0則輸出s=0,co=1。若低位進(jìn)位為1,即ci=1則輸出s=1,co=1。 當(dāng)全加器2個(gè)輸入端都輸入都為1,若低位進(jìn)位為0,即,,則輸出,。若低位進(jìn)位為1,即,,則輸出,。3線8線譯碼器(74L138)設(shè)計(jì)實(shí)現(xiàn)函數(shù)的仿真波形圖分析: 當(dāng)CBA=000、CBA=010、CBA=100或CBA=111時(shí),由波形圖分析可得F=1。 當(dāng)CBA=001、CBA=011、CBA=101或CBA=110時(shí),由波形圖分析可得F=0。 綜上可知:該設(shè)計(jì)方法的確實(shí)現(xiàn)了函數(shù) 六故障及問題分析實(shí)驗(yàn)過程還算順利,下面來討論實(shí)驗(yàn)中需要注意的地方。仿真實(shí)驗(yàn)中需要注意的地方:開始仿真波形時(shí),需注意設(shè)定END TIME,否則無法進(jìn)行仿真。規(guī)定輸入端波形的周期不合理,須按照倍數(shù)關(guān)系來設(shè)定,不能隨意設(shè)定周期,輸入變量周期按2的冪次方上升。(3)保存文件時(shí),命名的一致性,否則可能導(dǎo)致實(shí)驗(yàn)無法進(jìn)行下去。(4)將設(shè)計(jì)好的邏輯電路下載到實(shí)驗(yàn)板之前,應(yīng)設(shè)定好引腳。注意實(shí)驗(yàn)板上輸入端和輸出端對(duì)應(yīng)的引腳號(hào)。七總結(jié)和結(jié)論 通過本次實(shí)驗(yàn)我慢慢掌握了QuartusII這一軟件的一些基本功能。實(shí)驗(yàn)學(xué)習(xí)中,我懂得了如何理解并將理論課

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論