第9章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)_第1頁(yè)
第9章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)_第2頁(yè)
第9章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)_第3頁(yè)
第9章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)_第4頁(yè)
第9章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩49頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第第9章章 計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)計(jì)算機(jī)控制系統(tǒng)設(shè)計(jì)9.1 控制系統(tǒng)設(shè)計(jì)的原則與步驟控制系統(tǒng)設(shè)計(jì)的原則與步驟9.2 系統(tǒng)的工程設(shè)計(jì)和實(shí)現(xiàn)系統(tǒng)的工程設(shè)計(jì)和實(shí)現(xiàn)9.3 某新型建材廠全自動(dòng)預(yù)加水控制系統(tǒng)設(shè)計(jì)某新型建材廠全自動(dòng)預(yù)加水控制系統(tǒng)設(shè)計(jì)9.4 基于單片機(jī)的智能車(chē)模型設(shè)計(jì)基于單片機(jī)的智能車(chē)模型設(shè)計(jì)9.5 基于基于DSP2812的離網(wǎng)型智能光伏逆變器的離網(wǎng)型智能光伏逆變器9.1 控制系統(tǒng)設(shè)計(jì)的原則與步驟控制系統(tǒng)設(shè)計(jì)的原則與步驟設(shè)計(jì)原則設(shè)計(jì)原則: 1.安全可靠安全可靠 2.操作維護(hù)方便操作維護(hù)方便 3.實(shí)時(shí)性強(qiáng)實(shí)時(shí)性強(qiáng) 4.通用性好通用性好 5.經(jīng)濟(jì)效益高經(jīng)濟(jì)效益高 系統(tǒng)設(shè)計(jì)的步驟系統(tǒng)設(shè)計(jì)的步驟 系統(tǒng)工

2、程項(xiàng)目的研制分四個(gè)階段:系統(tǒng)工程項(xiàng)目的研制分四個(gè)階段:n工程項(xiàng)目和控制任務(wù)的確定階段;工程項(xiàng)目和控制任務(wù)的確定階段;n工程項(xiàng)目的設(shè)計(jì)階段;工程項(xiàng)目的設(shè)計(jì)階段;n離線仿真和調(diào)試階段;離線仿真和調(diào)試階段;n在線調(diào)試和運(yùn)行階段。在線調(diào)試和運(yùn)行階段。階段階段1.工程項(xiàng)目和控制任務(wù)的確定階段工程項(xiàng)目和控制任務(wù)的確定階段1)甲方一定要提供正式的書(shū)面委托書(shū),要有明確的系統(tǒng)技術(shù)性能指)甲方一定要提供正式的書(shū)面委托書(shū),要有明確的系統(tǒng)技術(shù)性能指標(biāo)要求、經(jīng)費(fèi)、計(jì)劃進(jìn)度、合作方式等。標(biāo)要求、經(jīng)費(fèi)、計(jì)劃進(jìn)度、合作方式等。2)乙方研究任務(wù)委托書(shū))乙方研究任務(wù)委托書(shū)3)雙方對(duì)委托書(shū)進(jìn)行確認(rèn)性修改)雙方對(duì)委托書(shū)進(jìn)行確認(rèn)性修改

3、4)乙方初步進(jìn)行系統(tǒng)總體方案設(shè)計(jì))乙方初步進(jìn)行系統(tǒng)總體方案設(shè)計(jì)5)乙方進(jìn)行可行性研究)乙方進(jìn)行可行性研究 目的目的:估計(jì)承接該項(xiàng)任務(wù)的把握性,并為簽訂合同估計(jì)承接該項(xiàng)任務(wù)的把握性,并為簽訂合同 后的設(shè)計(jì)打下基后的設(shè)計(jì)打下基礎(chǔ)礎(chǔ) 主要內(nèi)容:技術(shù)可行性;經(jīng)費(fèi)可行性;進(jìn)度可行性主要內(nèi)容:技術(shù)可行性;經(jīng)費(fèi)可行性;進(jìn)度可行性6)簽訂合同書(shū))簽訂合同書(shū)階段階段2.工程項(xiàng)目的設(shè)計(jì)階段工程項(xiàng)目的設(shè)計(jì)階段如下圖所示如下圖所示1)組建設(shè)計(jì)隊(duì)伍)組建設(shè)計(jì)隊(duì)伍2)各成員要明確分工和相互)各成員要明確分工和相互的的 協(xié)調(diào)合作關(guān)系。協(xié)調(diào)合作關(guān)系。3)系統(tǒng)總體方案)系統(tǒng)總體方案4)方案論證與評(píng)審)方案論證與評(píng)審5)硬件和軟

4、件的分別細(xì)化設(shè))硬件和軟件的分別細(xì)化設(shè)計(jì)計(jì)6)硬件和軟件的分別調(diào)試)硬件和軟件的分別調(diào)試7)系統(tǒng)組裝)系統(tǒng)組裝(是離線仿真和調(diào)是離線仿真和調(diào)試階段的前提和必要條件。試階段的前提和必要條件。)階段階段3.離線仿真和調(diào)試階段離線仿真和調(diào)試階段如圖如圖9-2所示所示圖圖9-2離線仿真和調(diào)試流程圖離線仿真和調(diào)試流程圖 離線仿真和調(diào)試是指在實(shí)驗(yàn)室離線仿真和調(diào)試是指在實(shí)驗(yàn)室而不是在工業(yè)現(xiàn)場(chǎng)進(jìn)行的仿真而不是在工業(yè)現(xiàn)場(chǎng)進(jìn)行的仿真和調(diào)試。在離線仿真和調(diào)試試和調(diào)試。在離線仿真和調(diào)試試驗(yàn)后,還要進(jìn)行拷機(jī)運(yùn)行,其驗(yàn)后,還要進(jìn)行拷機(jī)運(yùn)行,其目的是要在連續(xù)不斷的運(yùn)行中目的是要在連續(xù)不斷的運(yùn)行中暴露問(wèn)題和解決問(wèn)題。暴露問(wèn)題

5、和解決問(wèn)題。階段階段4.在線調(diào)試和運(yùn)行階段在線調(diào)試和運(yùn)行階段如圖如圖9-3所示所示圖圖9-3在線調(diào)試和運(yùn)行流程在線調(diào)試和運(yùn)行流程 在線調(diào)試和運(yùn)行就是將系統(tǒng)和生產(chǎn)在線調(diào)試和運(yùn)行就是將系統(tǒng)和生產(chǎn)過(guò)程聯(lián)接在一起,進(jìn)行現(xiàn)場(chǎng)調(diào)試和運(yùn)行。過(guò)程聯(lián)接在一起,進(jìn)行現(xiàn)場(chǎng)調(diào)試和運(yùn)行。 系統(tǒng)運(yùn)行正常后,再試運(yùn)行一段時(shí)系統(tǒng)運(yùn)行正常后,再試運(yùn)行一段時(shí)間,即可組織驗(yàn)收。間,即可組織驗(yàn)收。 驗(yàn)收是系統(tǒng)項(xiàng)目最終完成的標(biāo)志,驗(yàn)收是系統(tǒng)項(xiàng)目最終完成的標(biāo)志,應(yīng)由甲方主持乙方參加,雙方協(xié)同辦理。應(yīng)由甲方主持乙方參加,雙方協(xié)同辦理。 驗(yàn)收完畢應(yīng)形成文件存檔。驗(yàn)收完畢應(yīng)形成文件存檔。9.2 系統(tǒng)的工程設(shè)計(jì)和實(shí)現(xiàn)系統(tǒng)的工程設(shè)計(jì)和實(shí)現(xiàn)一:一:

6、 系統(tǒng)總體方案設(shè)計(jì)系統(tǒng)總體方案設(shè)計(jì)1.硬件總體方案設(shè)計(jì)硬件總體方案設(shè)計(jì)方法:方法:“黑箱黑箱”設(shè)計(jì)法,即畫(huà)方塊圖的方法。用此方法做出設(shè)計(jì)法,即畫(huà)方塊圖的方法。用此方法做出的系統(tǒng)結(jié)構(gòu)設(shè)計(jì),只需明確各方塊之間的信號(hào)輸入輸出關(guān)系的系統(tǒng)結(jié)構(gòu)設(shè)計(jì),只需明確各方塊之間的信號(hào)輸入輸出關(guān)系和功能要求,而不需知道和功能要求,而不需知道“黑箱黑箱”內(nèi)的具體結(jié)構(gòu)。內(nèi)的具體結(jié)構(gòu)。2.軟件總體方案設(shè)計(jì)軟件總體方案設(shè)計(jì) 畫(huà)出方框圖,確定系統(tǒng)的數(shù)學(xué)模型、控制策略、控制算畫(huà)出方框圖,確定系統(tǒng)的數(shù)學(xué)模型、控制策略、控制算法法。3.系統(tǒng)總體方案設(shè)計(jì)系統(tǒng)總體方案設(shè)計(jì) 將上面的硬件、軟件總體方案合在一起構(gòu)成系統(tǒng)總體方將上面的硬件、軟

7、件總體方案合在一起構(gòu)成系統(tǒng)總體方案??傮w方案論證可行后,要形成文件,建立總體方案文案??傮w方案論證可行后,要形成文件,建立總體方案文檔。檔。二:二: 硬件的工程設(shè)計(jì)和實(shí)現(xiàn)硬件的工程設(shè)計(jì)和實(shí)現(xiàn)1.選擇系統(tǒng)總線和主機(jī)機(jī)型選擇系統(tǒng)總線和主機(jī)機(jī)型內(nèi)總線:常用有內(nèi)總線:常用有PC總線和總線和STD總線兩種,一般選總線兩種,一般選PC總線??偩€。外總線:指計(jì)算機(jī)與計(jì)算機(jī)、計(jì)算機(jī)與智能儀表、智能外設(shè)之間的外總線:指計(jì)算機(jī)與計(jì)算機(jī)、計(jì)算機(jī)與智能儀表、智能外設(shè)之間的通信的總線。通信的總線。主機(jī)機(jī)型的選擇應(yīng)根據(jù)微型計(jì)算機(jī)在控制系統(tǒng)中所承擔(dān)的任務(wù)來(lái)確主機(jī)機(jī)型的選擇應(yīng)根據(jù)微型計(jì)算機(jī)在控制系統(tǒng)中所承擔(dān)的任務(wù)來(lái)確定。定。

8、2.選擇輸入輸出通道模板選擇輸入輸出通道模板1)數(shù)字量(開(kāi)關(guān)量)輸入輸出()數(shù)字量(開(kāi)關(guān)量)輸入輸出(DI/DO)模板;并行接口模板分:)模板;并行接口模板分:TTL電平電平DI/DO模板;帶光電隔離的模板;帶光電隔離的DI/DO模板模板2)模擬量輸入輸出()模擬量輸入輸出(AI/AO)模板。包括)模板。包括A/D、D/A板和信號(hào)調(diào)理電板和信號(hào)調(diào)理電路等。選擇路等。選擇AI/AO模板時(shí)必須注意分辨率、轉(zhuǎn)換速度、量程范圍等技術(shù)模板時(shí)必須注意分辨率、轉(zhuǎn)換速度、量程范圍等技術(shù)指標(biāo)。指標(biāo)。3、選擇變送器和執(zhí)行機(jī)構(gòu)、選擇變送器和執(zhí)行機(jī)構(gòu)變送器:將被測(cè)變量轉(zhuǎn)換為可遠(yuǎn)傳的統(tǒng)一標(biāo)準(zhǔn)的電信號(hào)。變送器:將被測(cè)變量

9、轉(zhuǎn)換為可遠(yuǎn)傳的統(tǒng)一標(biāo)準(zhǔn)的電信號(hào)。執(zhí)行機(jī)構(gòu):電動(dòng)調(diào)節(jié)閥、氣動(dòng)調(diào)節(jié)閥、液動(dòng)調(diào)節(jié)閥三種類(lèi)型,另執(zhí)行機(jī)構(gòu):電動(dòng)調(diào)節(jié)閥、氣動(dòng)調(diào)節(jié)閥、液動(dòng)調(diào)節(jié)閥三種類(lèi)型,另有有觸點(diǎn)開(kāi)關(guān)、無(wú)觸點(diǎn)開(kāi)關(guān)、電磁閥等。有有觸點(diǎn)開(kāi)關(guān)、無(wú)觸點(diǎn)開(kāi)關(guān)、電磁閥等。三:三: 軟件的工程設(shè)計(jì)和實(shí)現(xiàn)軟件的工程設(shè)計(jì)和實(shí)現(xiàn)1.劃分模塊劃分模塊 程序設(shè)計(jì)應(yīng)先模塊后整體。程序設(shè)計(jì)應(yīng)先模塊后整體。 劃分模塊時(shí)要注意四點(diǎn):一是一個(gè)模塊不宜劃分得太長(zhǎng)或劃分模塊時(shí)要注意四點(diǎn):一是一個(gè)模塊不宜劃分得太長(zhǎng)或太短;二是力求各模塊之間界線分明,邏輯上彼此獨(dú)立;三太短;二是力求各模塊之間界線分明,邏輯上彼此獨(dú)立;三是力圖使模塊具有通用性;四是簡(jiǎn)單任務(wù)不必模塊化。是力圖使模

10、塊具有通用性;四是簡(jiǎn)單任務(wù)不必模塊化。2.資源的分配資源的分配資源分配的主要工作是資源分配的主要工作是RAM的分配。的分配。3、實(shí)時(shí)控制軟件設(shè)計(jì)、實(shí)時(shí)控制軟件設(shè)計(jì)(1)數(shù)據(jù)的采集及數(shù)據(jù)處理程序數(shù)據(jù)的采集及數(shù)據(jù)處理程序 數(shù)據(jù)的采集:包括信號(hào)的采集、輸入變換、存儲(chǔ)。數(shù)據(jù)的采集:包括信號(hào)的采集、輸入變換、存儲(chǔ)。 數(shù)據(jù)處理:包括數(shù)字濾波、標(biāo)度變換、線性化、越數(shù)據(jù)處理:包括數(shù)字濾波、標(biāo)度變換、線性化、越 限報(bào)警等處理。限報(bào)警等處理。(2)控制算法程序控制算法程序 控制算法設(shè)計(jì)要根據(jù)具體的對(duì)象、控制性能指標(biāo)要求控制算法設(shè)計(jì)要根據(jù)具體的對(duì)象、控制性能指標(biāo)要求 以及所選擇的微型計(jì)算機(jī)對(duì)數(shù)據(jù)的處理能力來(lái)進(jìn)行。以

11、及所選擇的微型計(jì)算機(jī)對(duì)數(shù)據(jù)的處理能力來(lái)進(jìn)行。 (3)控制量輸出程序控制量輸出程序(4)實(shí)時(shí)時(shí)鐘和中斷處理程序?qū)崟r(shí)時(shí)鐘和中斷處理程序(5)數(shù)據(jù)管理程序數(shù)據(jù)管理程序(6)數(shù)據(jù)通信程序數(shù)據(jù)通信程序9.2.4 系統(tǒng)的調(diào)試與運(yùn)行系統(tǒng)的調(diào)試與運(yùn)行1.離線仿真和調(diào)試離線仿真和調(diào)試 (1)硬件調(diào)試)硬件調(diào)試 (2)軟件調(diào)試)軟件調(diào)試 順序:子程序、功能模塊、主程序。順序:子程序、功能模塊、主程序。 系統(tǒng)控制程序應(yīng)分為開(kāi)環(huán)和閉環(huán),開(kāi)環(huán)調(diào)試是檢查系統(tǒng)控制程序應(yīng)分為開(kāi)環(huán)和閉環(huán),開(kāi)環(huán)調(diào)試是檢查 它的階躍響應(yīng)特性,閉環(huán)調(diào)試是檢查它的反饋控制功它的階躍響應(yīng)特性,閉環(huán)調(diào)試是檢查它的反饋控制功 能。能。 整體調(diào)試:對(duì)模塊之間

12、連接關(guān)系的檢查。整體調(diào)試:對(duì)模塊之間連接關(guān)系的檢查。 (3)系統(tǒng)仿真)系統(tǒng)仿真2.在線仿真和調(diào)試在線仿真和調(diào)試 現(xiàn)場(chǎng)調(diào)試運(yùn)行過(guò)程是從小到大、從易到難、從手動(dòng)到現(xiàn)場(chǎng)調(diào)試運(yùn)行過(guò)程是從小到大、從易到難、從手動(dòng)到自動(dòng)、從簡(jiǎn)單到復(fù)雜逐步過(guò)渡。自動(dòng)、從簡(jiǎn)單到復(fù)雜逐步過(guò)渡。9.3 某新型建材廠全自動(dòng)預(yù)加水控制系統(tǒng)設(shè)計(jì)某新型建材廠全自動(dòng)預(yù)加水控制系統(tǒng)設(shè)計(jì)一:一: 工程概述工程概述圖圖9-4當(dāng)前采用的人工經(jīng)驗(yàn)加水當(dāng)前采用的人工經(jīng)驗(yàn)加水二二 系統(tǒng)總體方案設(shè)計(jì)系統(tǒng)總體方案設(shè)計(jì)1.確定系統(tǒng)的控制任務(wù)確定系統(tǒng)的控制任務(wù) 控制對(duì)象:來(lái)料以及原料的含水率的測(cè)量。主要任務(wù)要求: (1)來(lái)料參數(shù)的測(cè)量 (2)來(lái)料以及原料含水率

13、的智能控制 (3)實(shí)時(shí)數(shù)據(jù)的人機(jī)界面顯示 (4)通過(guò)觸摸屏完成人機(jī)對(duì)話,實(shí)現(xiàn)過(guò)程的可視化及操 作人員的過(guò)程控制等 2.確定系統(tǒng)的總體控制方案確定系統(tǒng)的總體控制方案(系統(tǒng)的整體框如下圖)圖圖9-5系統(tǒng)總體框圖系統(tǒng)總體框圖三三 硬件設(shè)計(jì)硬件設(shè)計(jì)1.智能控制單元智能控制單元PLC 本系統(tǒng)采用西門(mén)子本系統(tǒng)采用西門(mén)子S7-200PLC作為智能控制單元。作為智能控制單元。 2.水分測(cè)量?jī)x水分測(cè)量?jī)xCCD 本項(xiàng)目的測(cè)量要求和使用條件確定選擇數(shù)字本項(xiàng)目的測(cè)量要求和使用條件確定選擇數(shù)字CCD攝像頭。攝像頭。3.傳輸總線傳輸總線 選取選取485總線作為傳感器和總線作為傳感器和PLC控制單元以及觸摸屏之控制單元以及

14、觸摸屏之間的傳輸總線。間的傳輸總線。4.觸摸屏、電磁閥觸摸屏、電磁閥 觸摸屏選用步科觸摸屏選用步科MT4522T10.1寸寸TFT觸摸屏。電磁閥采觸摸屏。電磁閥采用用DN40電動(dòng)蝶閥,電動(dòng)蝶閥,4-20mA控制。控制。系統(tǒng)的硬件框圖系統(tǒng)的硬件框圖如圖如圖9-6所示:所示:四四 軟件設(shè)計(jì)軟件設(shè)計(jì) 本系統(tǒng)的軟件設(shè)計(jì)分為本系統(tǒng)的軟件設(shè)計(jì)分為兩部分:兩部分:PLC的軟件編的軟件編程和觸摸屏的界面設(shè)計(jì)。程和觸摸屏的界面設(shè)計(jì)。1.PLC的軟件編程的軟件編程 系統(tǒng)的軟件流程圖如系統(tǒng)的軟件流程圖如圖圖9-7所示所示 圖圖9-7軟件流程圖軟件流程圖(1) PID控制算法的控制算法的PLC實(shí)現(xiàn)實(shí)現(xiàn) 自動(dòng)加水控制系

15、統(tǒng)數(shù)學(xué)模型傳遞函數(shù)參數(shù)的確定采用目自動(dòng)加水控制系統(tǒng)數(shù)學(xué)模型傳遞函數(shù)參數(shù)的確定采用目前工程上常用的方法,即前工程上常用的方法,即對(duì)過(guò)程對(duì)象施加階躍輸入信號(hào),對(duì)過(guò)程對(duì)象施加階躍輸入信號(hào),測(cè)取過(guò)程對(duì)象的階躍響應(yīng),然后由階躍響應(yīng)曲線根據(jù)科恩測(cè)取過(guò)程對(duì)象的階躍響應(yīng),然后由階躍響應(yīng)曲線根據(jù)科恩-庫(kù)恩庫(kù)恩(Colin-Coon)公式確定近似傳遞函數(shù)。公式確定近似傳遞函數(shù)。Cohn-Coon公公式如公式式如公式9.1所示所示:0.6320.280.280.6321.5()11.5()3CKaTtttt 公式公式9.1為系統(tǒng)階躍輸入;為系統(tǒng)階躍輸入;為系統(tǒng)的輸出響應(yīng);為系統(tǒng)的輸出響應(yīng); t0.28是對(duì)是對(duì)C象飛

16、升曲線為象飛升曲線為0.28時(shí)的時(shí)間;時(shí)的時(shí)間; t0.632是對(duì)象飛升曲線為是對(duì)象飛升曲線為0.632時(shí)的時(shí)間。時(shí)的時(shí)間。CC(2)模糊控制算法的)模糊控制算法的PLC實(shí)現(xiàn)實(shí)現(xiàn)圖為模糊控制策略程序設(shè)圖為模糊控制策略程序設(shè)計(jì)的流程圖計(jì)的流程圖 2.觸摸屏軟件設(shè)計(jì)觸摸屏軟件設(shè)計(jì)通過(guò)觸摸屏的軟件編通過(guò)觸摸屏的軟件編程界面,實(shí)現(xiàn)對(duì)人機(jī)程界面,實(shí)現(xiàn)對(duì)人機(jī)交互界面的設(shè)計(jì)。本交互界面的設(shè)計(jì)。本系統(tǒng)中采用系統(tǒng)中采用INTOUCH組態(tài)軟件,組態(tài)軟件,設(shè)計(jì)和開(kāi)發(fā)了觸摸屏設(shè)計(jì)和開(kāi)發(fā)了觸摸屏的用戶(hù)界面。觸摸屏的用戶(hù)界面。觸摸屏操作臺(tái)如圖操作臺(tái)如圖9-9所示。所示。圖圖9-9觸摸屏操作界面觸摸屏操作界面五五 運(yùn)行調(diào)試

17、運(yùn)行調(diào)試n本系統(tǒng)已在兗州煤業(yè)股份有限公司宏力新型建材廠空心磚燒結(jié)生產(chǎn)線上運(yùn)行,運(yùn)行結(jié)果表明,該自動(dòng)加水系統(tǒng)能夠?qū)崿F(xiàn)根據(jù)測(cè)量裝置測(cè)得的來(lái)料量以及原料含水率,通過(guò)內(nèi)置的模糊-PID控制算法進(jìn)行運(yùn)算,從而給出指令驅(qū)動(dòng)執(zhí)行機(jī)構(gòu)完成含水率調(diào)節(jié)的功能。降低了工人勞動(dòng)強(qiáng)度,改善了操作人員作業(yè)環(huán)境,縮短了磚坯烘干時(shí)間,保證了烘干后磚坯的干燥程度和行火速度,從而提高了成品磚質(zhì)量、產(chǎn)量,降低了設(shè)備故障率,減少了因設(shè)備故障而導(dǎo)致的停工停產(chǎn)造成的巨大損失。9.4 基于單片機(jī)的智能車(chē)模型設(shè)計(jì)基于單片機(jī)的智能車(chē)模型設(shè)計(jì)一一 系統(tǒng)的總體設(shè)計(jì)方案系統(tǒng)的總體設(shè)計(jì)方案1)系統(tǒng)的基本工作原理)系統(tǒng)的基本工作原理 智能車(chē)的基本工作原

18、理為:智能車(chē)的基本工作原理為:CCD圖像傳感器拍攝賽道圖像并以圖像傳感器拍攝賽道圖像并以PAL制式信號(hào)輸出到信號(hào)處理模塊進(jìn)行二值化處理并進(jìn)行視頻同步信制式信號(hào)輸出到信號(hào)處理模塊進(jìn)行二值化處理并進(jìn)行視頻同步信號(hào)分離,二值化后的數(shù)據(jù)和視頻同步信號(hào)同時(shí)輸入到號(hào)分離,二值化后的數(shù)據(jù)和視頻同步信號(hào)同時(shí)輸入到MC9S12X128 控控制核心,進(jìn)行進(jìn)一步處理以獲得圖像信息;通過(guò)光電編碼器來(lái)檢測(cè)車(chē)制核心,進(jìn)行進(jìn)一步處理以獲得圖像信息;通過(guò)光電編碼器來(lái)檢測(cè)車(chē)速,通過(guò)外接兩個(gè)計(jì)數(shù)器計(jì)數(shù)進(jìn)而計(jì)算速度以實(shí)現(xiàn)差速;舵機(jī)轉(zhuǎn)向采速,通過(guò)外接兩個(gè)計(jì)數(shù)器計(jì)數(shù)進(jìn)而計(jì)算速度以實(shí)現(xiàn)差速;舵機(jī)轉(zhuǎn)向采用用PD 控制算法;電機(jī)轉(zhuǎn)速控制采用

19、控制算法;電機(jī)轉(zhuǎn)速控制采用 PID 控制算法,并通過(guò)控制算法,并通過(guò) PWM脈脈沖控制驅(qū)動(dòng)電路;通過(guò)綜合控制,使智能車(chē)能夠自主尋跡。沖控制驅(qū)動(dòng)電路;通過(guò)綜合控制,使智能車(chē)能夠自主尋跡。2)系統(tǒng)設(shè)計(jì)框架)系統(tǒng)設(shè)計(jì)框架智能車(chē)系統(tǒng)主要包括以下模塊:智能車(chē)系統(tǒng)主要包括以下模塊:MC9S12XS128 單片機(jī)模塊、單片機(jī)模塊、CCD 圖像采集模塊、轉(zhuǎn)向舵機(jī)模塊、驅(qū)動(dòng)電機(jī)模塊和速度檢測(cè)模塊。圖像采集模塊、轉(zhuǎn)向舵機(jī)模塊、驅(qū)動(dòng)電機(jī)模塊和速度檢測(cè)模塊。系統(tǒng)總體結(jié)構(gòu)如圖系統(tǒng)總體結(jié)構(gòu)如圖9-10所示:所示:圖圖9-10系統(tǒng)整體架構(gòu)系統(tǒng)整體架構(gòu)二二 硬件設(shè)計(jì)硬件設(shè)計(jì) 硬件電路主要包括:電源模塊、路徑識(shí)別模塊、電機(jī)驅(qū)硬

20、件電路主要包括:電源模塊、路徑識(shí)別模塊、電機(jī)驅(qū)動(dòng)模塊、舵機(jī)轉(zhuǎn)向模塊、速度檢測(cè)模塊。以下我們將分別動(dòng)模塊、舵機(jī)轉(zhuǎn)向模塊、速度檢測(cè)模塊。以下我們將分別介紹各個(gè)模塊。介紹各個(gè)模塊。(1)單片機(jī)最小系統(tǒng)板)單片機(jī)最小系統(tǒng)板 單片機(jī)最小系統(tǒng)部分使用單片機(jī)最小系統(tǒng)部分使用MC9S12XS128 單片機(jī),單片機(jī),112 引腳封裝,為減少電路板空間,板上僅將本系統(tǒng)所用到引引腳封裝,為減少電路板空間,板上僅將本系統(tǒng)所用到引腳引出,包括腳引出,包括PWM 接口,定時(shí)器接口,外部中斷接口,接口,定時(shí)器接口,外部中斷接口,若干預(yù)留普通若干預(yù)留普通IO 接口。其他部分還包括電源濾波電路、時(shí)接口。其他部分還包括電源濾波電

21、路、時(shí)鐘振蕩電路、復(fù)位電路、鐘振蕩電路、復(fù)位電路、BDM 接口。接口。(2)電源管理模塊)電源管理模塊 全部硬件電路的電源用全部硬件電路的電源用7.2V 2000mAh Ni-cd蓄電池提供。蓄電池提供。由于電路中的不同電路模塊所需要的工作電壓和電流容量由于電路中的不同電路模塊所需要的工作電壓和電流容量各不相同,因此電源模塊應(yīng)該包含多個(gè)穩(wěn)壓電路,將充電各不相同,因此電源模塊應(yīng)該包含多個(gè)穩(wěn)壓電路,將充電電池電壓轉(zhuǎn)換成各個(gè)模塊所需要的電壓。電池電壓轉(zhuǎn)換成各個(gè)模塊所需要的電壓。a)降壓穩(wěn)壓電路的設(shè)計(jì))降壓穩(wěn)壓電路的設(shè)計(jì) 我們采用的降壓穩(wěn)壓芯片是我們采用的降壓穩(wěn)壓芯片是LM2940、LM2596-5.

22、0、lM2596-ADJ。其電路如下圖所示。其電路如下圖所示。 降壓穩(wěn)壓電路降壓穩(wěn)壓電路 b)升壓穩(wěn)壓電路)升壓穩(wěn)壓電路 本設(shè)計(jì)中采用本設(shè)計(jì)中采用B0512LS-1W作為升壓穩(wěn)壓芯片,該芯片外作為升壓穩(wěn)壓芯片,該芯片外圍電路簡(jiǎn)單且性能穩(wěn)定,完全能夠滿(mǎn)足本設(shè)計(jì)中圍電路簡(jiǎn)單且性能穩(wěn)定,完全能夠滿(mǎn)足本設(shè)計(jì)中5到到12V升壓升壓的要求。的要求。(3)路徑識(shí)別模塊)路徑識(shí)別模塊a)傳感器的選擇)傳感器的選擇 攝像頭的選?。褐饕蠧MOS 和CCD 兩種:CMOS 攝像頭體積小,耗電量小,圖像穩(wěn)定性較高,但成像質(zhì)量差,分辨率較低,噪聲較多。CCD 攝像頭具有對(duì)比度高、動(dòng)態(tài)特性好的優(yōu)點(diǎn),但需要工作在12V電

23、壓下,因此,經(jīng)過(guò)實(shí)驗(yàn)論證之后本系統(tǒng)中采用CCD攝像頭。b)視頻同步分離電路)視頻同步分離電路我們使用LM1881N 芯片對(duì)黑白全電視信號(hào)進(jìn)行視頻同步分離,得到行同步、場(chǎng)同步信號(hào)。視頻同步分離電路原理圖9-12所示:圖9-12視頻同步分離電路原理圖c)車(chē)速檢測(cè)模塊車(chē)速檢測(cè)模塊 要使車(chē)能夠快速穩(wěn)定的運(yùn)行,并且能很好的實(shí)現(xiàn)加速和要使車(chē)能夠快速穩(wěn)定的運(yùn)行,并且能很好的實(shí)現(xiàn)加速和減速,速度控制就是很重要的,本方案采用光電編碼器作減速,速度控制就是很重要的,本方案采用光電編碼器作為系統(tǒng)的速度傳感器。為系統(tǒng)的速度傳感器。圖圖9-13 TLC5510的外圍電路設(shè)計(jì)的外圍電路設(shè)計(jì)d)高速)高速AD模塊模塊 該設(shè)

24、計(jì)中采用位高速該設(shè)計(jì)中采用位高速A/D轉(zhuǎn)換器轉(zhuǎn)換器TLC5510進(jìn)行高速進(jìn)行高速AD模塊的搭建。模塊的搭建。TLC5510外圍電路設(shè)計(jì)如圖外圍電路設(shè)計(jì)如圖9-13所示。所示。e)電機(jī)驅(qū)動(dòng)模塊)電機(jī)驅(qū)動(dòng)模塊 本設(shè)計(jì)中采用本設(shè)計(jì)中采用H橋驅(qū)動(dòng)芯片橋驅(qū)動(dòng)芯片33883和和IRF540N來(lái)搭建來(lái)搭建H橋橋驅(qū)動(dòng)電路。驅(qū)動(dòng)電路。MC33886接線圖如圖接線圖如圖9-14所示。所示。圖9-14 MC33886接線圖控制系統(tǒng)的框圖控制系統(tǒng)的框圖如圖如圖 :三三 軟件設(shè)計(jì)軟件設(shè)計(jì)1. 系統(tǒng)初始化程序系統(tǒng)初始化程序?qū)τ趯?duì)于MC9S12XS128單片機(jī)來(lái)說(shuō),初始化的部分主要有以下幾單片機(jī)來(lái)說(shuō),初始化的部分主要有以下

25、幾部分:部分:PLL初始化,初始化,PWM模塊初始化,模塊初始化,ECT模塊初始化,模塊初始化,I/O口初始化,口初始化,A/D初始化。下面我就分別介紹一下各個(gè)模塊的初初始化。下面我就分別介紹一下各個(gè)模塊的初始化程序。始化程序。1)PLL初始化初始化 S12的總線時(shí)鐘是整個(gè)的總線時(shí)鐘是整個(gè)MCU系統(tǒng)的定時(shí)基準(zhǔn)和工作同步脈沖,系統(tǒng)的定時(shí)基準(zhǔn)和工作同步脈沖,其頻率固定為晶體頻率的其頻率固定為晶體頻率的1/2。對(duì)于。對(duì)于S12,可以利用時(shí)鐘合成寄,可以利用時(shí)鐘合成寄存器存器SYNR、時(shí)鐘分頻寄存器、時(shí)鐘分頻寄存器REFDV來(lái)改變晶振頻率來(lái)改變晶振頻率fOSCCLK,可以選用,可以選用8MHz或或16

26、MHz外部晶體振蕩器作外時(shí)鐘。外部晶體振蕩器作外時(shí)鐘。設(shè)計(jì)中將設(shè)計(jì)中將SYNR設(shè)為設(shè)為4,REFDV設(shè)為設(shè)為1,因此,總線時(shí)鐘為,因此,總線時(shí)鐘為40MHz,CPU工作頻率工作頻率80MHz。初始化程序如下:初始化程序如下:void PLL_init(void) /設(shè)定總線時(shí)鐘40MHz DisableInterrupts; CLKSEL=0X00; PLLCTL_PLLON=1; SYNR=4; REFDV=1; while(!(CRGFLG_LOCK=1); CLKSEL_PLLSEL=1; 2)PWM初始化初始化 通過(guò)寄存器PWME來(lái)控制PWM0PWM7的啟動(dòng)或關(guān)閉。為了提高精度,我們將

27、PWM0和PWM1,構(gòu)成16位的PWM通道。 級(jí)聯(lián)時(shí),2個(gè)通道的常數(shù)寄存器和計(jì)數(shù)器均連接成16位的寄存器,3個(gè)16位通道的輸出分別使用通道7、3、1的輸出引腳,時(shí)鐘源分別由通道7、3、1的時(shí)鐘選擇控制位決定。級(jí)聯(lián)時(shí),通道7、3、1的引腳變成PWM輸出引腳,通道6、2、0的時(shí)鐘選擇沒(méi)有意義。通過(guò)寄存器PWMPRCLK、PWMSCLA、PWMSCLB、PWMCLK對(duì)各通道的時(shí)鐘源進(jìn)行設(shè)置。PWM模塊的初始化設(shè)置過(guò)程如下所示:模塊的初始化設(shè)置過(guò)程如下所示:void PWM_Init(void) PWME = 0 x00; PWMCTL = 0 x50; PWMCLK = 0 x00; /時(shí)鐘選擇寄存

28、器 PWMCLK_PCLK1 = 1; /1選SA時(shí)鐘 PWMCLK_PCLK2 =1; /2選sB時(shí)鐘 PWMCLK_PCLK3 =1; /3選sBPWMCLK_PCLK5 = 0; /5選A時(shí)鐘 PWMCLK_PCLK6 =1; /6選sB時(shí)鐘 PWMCLK_PCLK7 = 1; /7選sB時(shí)鐘 PWMPRCLK = 0 x10; /預(yù)分頻寄存器B2分頻 PWMSCLA = 12; PWMSCLB = 15; PWMPOL_PPOL1 = 1; /極性選擇寄存器 PWMPOL_PPOL3 = 1; PWMPOL_PPOL5 = 1; PWMPOL_PPOL7 = 1; PWMCAE = 0

29、 x00; PWMPER01 = 20000; /舵機(jī)需要50hz周期20ms的基波 PWMPER2 = 200; /右電機(jī)2kh PWMPER3 = 200; PWMPER45 =2 ; /作為ad轉(zhuǎn)化的時(shí)鐘12M PWMPER6 = 200; /左電機(jī)2khz PWMPER7= 200; PWMDTY01 = 1200; /舵機(jī)初始化方向?yàn)檎较?1.5ms的高 電平 PWMDTY2 = 0; PWMDTY3 = 100; PWMDTY45 = 1; /A/D轉(zhuǎn)換輸出方波 PWMDTY6 = 100; / 與23共同控制左右電機(jī)占空比都50% PWMDTY7 = 100; PWME = 0

30、 xff; /打開(kāi)PWM各通道輸出 3)ECT模塊初始化模塊初始化 S12的ECT具有8個(gè)輸入(IC)/輸出(OC)比較通道,可以通過(guò)設(shè)置TIOS寄存器選擇輸入或輸出比較功能。ECT既可以作為一個(gè)時(shí)基定時(shí)產(chǎn)生中斷,也可以用來(lái)產(chǎn)生控制信號(hào)。定時(shí)器初始化程序如下:void ECT_Init(void) PACTL=0X50;/PT7 PIN, 16BIT,NOT INTERRUPT TCTL3=0 x52;/通道6、7僅捕捉上升沿用于測(cè)速 TCTL4=0 x10; TIE =0 x54; TIOS =0 x00;/每一位對(duì)應(yīng)通道的: 0輸入捕捉,1輸出比較 TSCR1=0 x80;/ 4)PIT模

31、塊初始化模塊初始化void PIT_init (void) /周期中斷初始化函數(shù) 周期20ms中斷設(shè)置 PITCFLMT_PITE=0; /定時(shí)中斷通道1關(guān) PITCE_PCE0=1; /定時(shí)器通道使能選擇:通道0、1、2、 3PITMUX_PMUX0 = 0; PITMTLD0=20-1; PITLD0=40000 - 1; PITINTE_PINTE0=1; PITCFLMT_PITE=1; 5)A/D初始化初始化 高速A/D模塊工作時(shí),CPU向該模塊發(fā)出啟動(dòng)命令,然后進(jìn)行采樣,A/D轉(zhuǎn)換,最后將結(jié)果保存到相應(yīng)的寄存器。 A/D初始化不是在主程序中完成的,而是在每次采集視頻信息之前完成的。

32、所以,A/D的初始化不同前面的初始化部分,它需要在每個(gè)控制周期都初始化一次 A/D初始化程序如下:void AD15_Init(void) ATD0CTL0 = 0 x00; ATD0CTL1 = 0 x00; /8位轉(zhuǎn)換 ATD0CTL2 = 0 x40; ATD0CTL3 = 0 x88; /1000_1000 轉(zhuǎn)換長(zhǎng)度為一 ATD0CTL4 = 0 x19; ATD0CTL5 = 0 x0f; /第15通道轉(zhuǎn)換 ATD0DIEN = 0 x00; 2. 驅(qū)動(dòng)電機(jī)的驅(qū)動(dòng)電機(jī)的PID控制算法控制算法圖9-16 PID控制算法原理圖 電機(jī)控制主要要求提高電機(jī)的響應(yīng)速度和調(diào)速準(zhǔn)確性,電機(jī)控制主要

33、要求提高電機(jī)的響應(yīng)速度和調(diào)速準(zhǔn)確性,故選用故選用PID 參數(shù)時(shí)選取較大的參數(shù)時(shí)選取較大的P 參數(shù),而積分參數(shù)參數(shù),而積分參數(shù)I 對(duì)對(duì)車(chē)速控制有慣性,影響反應(yīng)速度,而積分參數(shù)車(chē)速控制有慣性,影響反應(yīng)速度,而積分參數(shù)I 過(guò)大會(huì)過(guò)大會(huì)使速度波動(dòng)增加,影響車(chē)輛的穩(wěn)定運(yùn)行,所以選擇了非使速度波動(dòng)增加,影響車(chē)輛的穩(wěn)定運(yùn)行,所以選擇了非常小的積分參數(shù)。常小的積分參數(shù)。 3. 舵機(jī)的舵機(jī)的PID控制算法控制算法n設(shè)計(jì)中舵機(jī)的控制采用了增量式設(shè)計(jì)中舵機(jī)的控制采用了增量式PID算法,根據(jù)測(cè)試,將圖象算法,根據(jù)測(cè)試,將圖象經(jīng)過(guò)算法處理后得到的黑線位置和對(duì)應(yīng)的舵機(jī)經(jīng)過(guò)算法處理后得到的黑線位置和對(duì)應(yīng)的舵機(jī)PID參照角度

34、處參照角度處理成一次線性關(guān)系。理成一次線性關(guān)系。n選擇的選擇的PID調(diào)節(jié)策略是:將積分項(xiàng)系數(shù)置零,此時(shí)相比穩(wěn)定性調(diào)節(jié)策略是:將積分項(xiàng)系數(shù)置零,此時(shí)相比穩(wěn)定性和精確性,舵機(jī)在這種動(dòng)態(tài)隨動(dòng)系統(tǒng)中對(duì)動(dòng)態(tài)響應(yīng)性能要求更和精確性,舵機(jī)在這種動(dòng)態(tài)隨動(dòng)系統(tǒng)中對(duì)動(dòng)態(tài)響應(yīng)性能要求更高。更重要的是,在高。更重要的是,在Ki置零的情況下,通過(guò)合理調(diào)節(jié)置零的情況下,通過(guò)合理調(diào)節(jié)Kp參數(shù),參數(shù),發(fā)現(xiàn)車(chē)能在直線高速行駛時(shí)仍能保持車(chē)身非常穩(wěn)定,沒(méi)有震蕩,發(fā)現(xiàn)車(chē)能在直線高速行駛時(shí)仍能保持車(chē)身非常穩(wěn)定,沒(méi)有震蕩,基本沒(méi)有必要使用基本沒(méi)有必要使用Ki參數(shù);微分項(xiàng)系數(shù)參數(shù);微分項(xiàng)系數(shù)Kd則使用定值,原因是則使用定值,原因是舵機(jī)在一

35、般賽道中都需要好的動(dòng)態(tài)響應(yīng)能力;通過(guò)選擇測(cè)試一舵機(jī)在一般賽道中都需要好的動(dòng)態(tài)響應(yīng)能力;通過(guò)選擇測(cè)試一些些PID參數(shù),從而得到較為理想的轉(zhuǎn)向控制效果。參數(shù),從而得到較為理想的轉(zhuǎn)向控制效果。四四 系統(tǒng)調(diào)試系統(tǒng)調(diào)試系統(tǒng)調(diào)試分為軟件調(diào)試和硬件調(diào)試兩部分。系統(tǒng)調(diào)試分為軟件調(diào)試和硬件調(diào)試兩部分。 1, 硬件調(diào)試:硬件調(diào)試:首先是對(duì)硬件電路的電源部分、傳感器部分以及驅(qū)首先是對(duì)硬件電路的電源部分、傳感器部分以及驅(qū)動(dòng)部分進(jìn)行調(diào)試,再接著就是檢驗(yàn)電機(jī)的驅(qū)動(dòng),以及編碼器動(dòng)部分進(jìn)行調(diào)試,再接著就是檢驗(yàn)電機(jī)的驅(qū)動(dòng),以及編碼器的工作是否正常,最后對(duì)整體進(jìn)行調(diào)試。的工作是否正常,最后對(duì)整體進(jìn)行調(diào)試。 2,軟件調(diào)試:,軟件調(diào)

36、試:在軟件設(shè)計(jì)中,根據(jù)之前的各模塊的規(guī)劃進(jìn)行初始在軟件設(shè)計(jì)中,根據(jù)之前的各模塊的規(guī)劃進(jìn)行初始化設(shè)置,在編寫(xiě)程序前,要先對(duì)各個(gè)模塊分別進(jìn)行調(diào)試,并化設(shè)置,在編寫(xiě)程序前,要先對(duì)各個(gè)模塊分別進(jìn)行調(diào)試,并編寫(xiě)各部分的子程序。在每一部分程序調(diào)試通過(guò)后,對(duì)所有編寫(xiě)各部分的子程序。在每一部分程序調(diào)試通過(guò)后,對(duì)所有子程序進(jìn)行整合,編寫(xiě)出完整的主程序,在子程序進(jìn)行整合,編寫(xiě)出完整的主程序,在CodeWarrior 界界面完成程序編譯后,通過(guò)面完成程序編譯后,通過(guò)BDM工具,將程序下載到工具,將程序下載到MC9S12XS128微處理器中,然后進(jìn)行小車(chē)的調(diào)試。微處理器中,然后進(jìn)行小車(chē)的調(diào)試。 3,調(diào)試結(jié)果,調(diào)試結(jié)果

37、9.5 基于基于DSP2812的離網(wǎng)型智能光伏逆變器的離網(wǎng)型智能光伏逆變器一:工程概述一:工程概述 本設(shè)計(jì)研制的基于本設(shè)計(jì)研制的基于DSP2812的離網(wǎng)型智能光伏逆變器的離網(wǎng)型智能光伏逆變器廣泛用于離網(wǎng)型光伏發(fā)電系統(tǒng)、風(fēng)光互補(bǔ)發(fā)電系統(tǒng)。它采廣泛用于離網(wǎng)型光伏發(fā)電系統(tǒng)、風(fēng)光互補(bǔ)發(fā)電系統(tǒng)。它采用用Boost升壓電路,將直流輸入電壓升壓電路,將直流輸入電壓48V升壓,再通過(guò)升壓,再通過(guò)IPM模塊實(shí)現(xiàn)全橋逆變,輸出模塊實(shí)現(xiàn)全橋逆變,輸出220V/50Hz的交流電。采用的交流電。采用TI公司的高速公司的高速DSP TMS320F2812作為主控芯片,實(shí)現(xiàn)數(shù)作為主控芯片,實(shí)現(xiàn)數(shù)字控制。通過(guò)字控制。通過(guò)CA

38、N現(xiàn)場(chǎng)總線或現(xiàn)場(chǎng)總線或RS485與上位機(jī)進(jìn)行網(wǎng)絡(luò)通與上位機(jī)進(jìn)行網(wǎng)絡(luò)通信,實(shí)現(xiàn)真正的智能化信,實(shí)現(xiàn)真正的智能化 二:系統(tǒng)總體方案設(shè)計(jì)二:系統(tǒng)總體方案設(shè)計(jì) 下圖為逆變器原理框圖下圖為逆變器原理框圖 : n1)采用)采用DSP 2812作為主控芯片,輸出電壓精度高、輸出既可以作為主控芯片,輸出電壓精度高、輸出既可以是交流正弦波或也可以是方波,且具有三種工作模式:是交流正弦波或也可以是方波,且具有三種工作模式: 正常工正常工作模式,作模式, 管理員模式,管理員模式, 睡眠模式。這些功能可以滿(mǎn)足各種用睡眠模式。這些功能可以滿(mǎn)足各種用電設(shè)備的要求。電設(shè)備的要求。n2)采用)采用Boost電路升壓電路升壓+

39、全橋逆變的方式。由于沒(méi)有變壓器的存全橋逆變的方式。由于沒(méi)有變壓器的存在,大大降低了逆變器的體積和重量,外形美觀、體積小。在,大大降低了逆變器的體積和重量,外形美觀、體積小。n3)通過(guò))通過(guò)CAN現(xiàn)場(chǎng)總線和現(xiàn)場(chǎng)總線和/或或RS485與上位機(jī)網(wǎng)絡(luò)通信,實(shí)現(xiàn)智能與上位機(jī)網(wǎng)絡(luò)通信,實(shí)現(xiàn)智能監(jiān)控。監(jiān)控。n本逆變器主要由一次回路(又稱(chēng)主回路)和二次回路(控制回路)本逆變器主要由一次回路(又稱(chēng)主回路)和二次回路(控制回路)組成。一次回路包括組成。一次回路包括Boost升壓電路和全橋逆變電路,以及輸入升壓電路和全橋逆變電路,以及輸入濾波電路、輸出濾波電路。二次回路包括濾波電路、輸出濾波電路。二次回路包括3塊功

40、能板:主控板、塊功能板:主控板、信號(hào)采樣與處理板和人機(jī)交互板。信號(hào)采樣與處理板和人機(jī)交互板。1. 主回路電路主回路電路 主電路起著傳輸電能的作用,它采用續(xù)流電感、主電路起著傳輸電能的作用,它采用續(xù)流電感、IGBT、快、快恢復(fù)二極管等構(gòu)成恢復(fù)二極管等構(gòu)成Boost電路,將較低的直流電壓升至幅值電路,將較低的直流電壓升至幅值較高的穩(wěn)定電壓;采用功率模塊較高的穩(wěn)定電壓;采用功率模塊IPM實(shí)現(xiàn)全橋逆變。實(shí)現(xiàn)全橋逆變。本產(chǎn)品采用本產(chǎn)品采用Boost電路升壓的方式,無(wú)需變壓器,因而可大電路升壓的方式,無(wú)需變壓器,因而可大大降低了逆變器的體積和重量;大降低了逆變器的體積和重量; 三:硬件設(shè)計(jì)三:硬件設(shè)計(jì) 1

41、23456ABCD654321DCBATitleNumberRevisionSizeBDate:1-Jun-2010 Sheet of File:F:畢 業(yè) 設(shè) 計(jì) 資 料 PROTEL 原 理 圖 最 新 .5.17Invertor2.0.DdbDrawn By:T1AVDD1523865U1HCPL4504SIGNDT1GNDR1R2213IGBTR5R6NPNPNPR7R8C1C2L1D1C3R3R4UIN+UIN-UIT2PWMIPM +IPM -UB圖9-19 Boost升壓電路圖2. 控制回路電路控制回路電路n主控電路采用快速高效的主控電路采用快速高效的DSP芯片芯片TMS320F

42、2812、外擴(kuò)存儲(chǔ)器、外擴(kuò)存儲(chǔ)器RAM芯片芯片IS61LV51216等,并設(shè)有編程接口,可以通過(guò)該接口在等,并設(shè)有編程接口,可以通過(guò)該接口在出廠后方便地進(jìn)行系統(tǒng)程序的更新。出廠后方便地進(jìn)行系統(tǒng)程序的更新。DSP主控電路圖如圖所示主控電路圖如圖所示:123456ABCD654321DCBAT itleNum berR evisionSizeCDate:19-Apr-2010Sheet of File:F:畢 業(yè) 設(shè) 計(jì) 資 料 PR OT E L 原 理 圖 最 新 Invertor2.0.DdbDrawn B y:VDDAIO1ADC INB 02ADC INB 13ADC INB 24ADC

43、 INB 35ADC INB 46ADC INB 57ADC INB 68ADC INB 79ADC R E FM10ADC R E FP11AVSSR E FB G12AVDDR E FB G13VDDA114VSSA115ADC R E SE XT16XM P/M C17XA018VSS119M DR A20XD021M DXA22VDD123XD124M C L KR A25M FSXA26XD227M C L KXA28M FSR A29XD330VDDIO131VSS232XD433SPIC L KA34SPIST E A35XD536VDD237VSS338XD639SPISIM

44、OA40SPISOM IA41XR D42XA143XZ C S0AND144PWM745PWM846PWM947PWM1048PWM1149PWM1250XR/W51VSS452T3PWM/CMP53XD754T4PWM/CMP55VDD356CAP4/QEP357VSS558CAP5/QEP459CAP6/QEP1260C4TRIP61C5TRIP62C6TRIP63VDDIO264XD865TEST266TEST167XD968VDD3VFL69VSS70TDIRB71TCLKINB72XD1073XD1174VDD475X276X177VSS778T3CTRIP79XA280VDDIO

45、381XHOLDA82T4CTRIP83XWE84XA385VSS886CANTXA87XZCS288C ANR XA89SC IT XDB90SC IT R DB91PW M 192PW M 293PW M 394PW M 495XD1296XD1397PW M 598VSS999VDD5100PW M 6101T 1PW M /C M P102XA4103T 2PW M /C AP104VSS10105C AP1/QE P1106C AP2/QE P2107XA5108C AP3/QE P11109T 1C T R IP110XA6111VDD6112VSS11113VDDIO4114T

46、2C T R IP115T DIR A116T C L KINA117XA7118XC L KOUT119VSS12120XA8121C 1T R IP122C 2T R IP123C 3T R IP124XA9125T M S126T DO127VDD7128VSS13129XA10130T DI131XA11132XZCS6AND7133TESTSEL134TCK136EMU0137XA12138XD14139XF/XPLLDIS140TRST135XA13141VSS14142VDD8143XA14144VDDIO5145EMU1146XD15147XA15148XINT1/XBIO14

47、9XNMI/XINT13150XINT2/ADCSOC151XA16152VSS15153VDD9154SCITXDA155XA17156SCIRXA157XA18158XHOLD159XRS160XREADY161VDD10162VSS16163ADCBGREFIN164VSSA2165VDDA2166ADCINA7167ADCINA6168ADCINA5169ADCINA4170ADCINA3171ADCINA2172ADCINA1173ADCINA0174ADCLO175VSSAIO176U18TMS320F2812UIINUBINUOINIOINGPIOB6GPIOB7DGNDC AP

48、5INC PUC AP6INC PUKE Y2KE Y3KE Y4KE Y5L E D0KEY0KEY1SCIRXASCITXASC IR XBSC IT XBC ANR XCANTXAAVDD3.3C 80C 81R 1AAGNDAAVDD3.3AAGNDDGNDDVDD1.9DVDD3.3DGNDDGNDDVDD1.8DVDD1.9DGNDDGNDDVDD3.3DVDD3.3DGNDDVDD1.9DVDD3.3DGNDY2C 84C 85DGNDDGNDDGNDDVDD1.8T DIT DOT M SDGNDDGNDDGNDDVDD1.8DVDD1.8DVDD3.3CS6/CS7DGNDn

49、TRSTTCKEMU0EMU1DVDD3.3DVDD1.8DGNDSYSDGNDDVDD1.8AAVDD3.3AAGNDDGNDDVDD1.8nRSTCPUR 2DVDD3.3AAGNDR OW KE Y1NC1NC21GND31E N41IN51IN6NC7NC82GND92E N102IN112IN12NC13NC14NC15NC162OUT172OUT18NC19NCNC212R E SE T221OUT231OUT241FB /NC25NC26NC271R E SE T28U11T PS767D318C 83DVDD5DVDD1.8DVDD3.3AAVDD3.3L 16L 12D15D

50、14D16L 14GNDC 82nR ST C PUD2L 13L 15XA1XD6XD5XD4XD3XD2XD1XD0XA0XA18XA16XA15XD15XA14XA13XA12XD12XD13XA4XA5XA6XA7XA8XA9XA10XA11A01A12A23A34A45/C E6D07D18D29D310VDD11GND12D413D514D615D716/W E17A518A619A720A821A922A1023A1124A1225A1326A1427A1828D829D930D1031D1132VDD33GND34D1235D1336D1437D1538/L B39/UB40/

51、OE41A1542A1643A1744U6IS61L V51216ALXA0XA1XA2XA3XA4XA5XA6XA7XA8XA9XA10XA11XA12XA13XA14XA15XA16XA17XA18XD0XD1XD2XD3XD4XD5XD6XD7XD8XD9XD10XD11XD12XD13XD14XD15C S6/C S7W ER D1234567891011121314JP1HE ADE R 7X2DGNDnT R STE M U0E M U1T M ST DIT DOT C KDVDD3.3DVDD3.3DGNDXD7XD8XD9XD10XD11XA2XA3X1X2X1X2XA17L

52、E D3L E D4L E D1L E D2GPIOB0GPIOB1GPIOB2PW M 1PW M 2GPIOA2GPIOA3GPIOA4GPIOA5GPIOA6T 2PW MXD14R 2R 3DVDD3.3通信總線采用通信總線采用CAN總線和總線和485總線的設(shè)計(jì)。通訊電路原理圖如圖總線的設(shè)計(jì)。通訊電路原理圖如圖9-20所示所示:1234ABCD4321DCBAT itleN um berR evisionSizeAD ate:19-A pr-2010Sheet of File:F:畢 業(yè) 設(shè) 計(jì) 資 料 PR O T E L 原 理 圖 最 新 Invertor2.0.D dbD ra

53、w n B y:V dd11G N D 12V ia3V ib4V oc5V od6R C out7G N D 18G N D iso9V sel10V id11V ic12V ob13V oa14G N D iso15V iso16U 4A D U M 5402D1G N D2V C C3R4V ref5C A N L6C A N H7R s8U 5SN 65H V D 231DR1R E2D E3D4G N D5A6B7V C C8U 8SN 75L B C 184DD G N DD G N DD V D D 3.3SC IT X BSC IR X BC A N T XC A N R XI

54、SO DISO GISO GISO C A N R XISO SC IT XISO C A N T XISO SC IR XISO SC IT XISO SC IR XnISO SC IT XISO DC 7ISO GISO DISO GC 2R 2ISO C A N T XISO C A N R XISO G1A1G22A32Y4V51Y6U 774L V C 2G 14nISO SC IT XISO SC IT XISO GISO DC A N HC A N LR S485HR S485LR 1R 51234ABCD4321DCBATitleNum berR evisionSizeADat

55、e:19-Apr-2010Sheet of File:F:畢 業(yè) 設(shè) 計(jì) 資 料 PR OTEL 原 理 圖 最 新 Invertor2.0.DdbDrawn B y:Vdd11GND12Via3Vib4Voc5Vod6R C out7GND18GNDiso9Vsel10Vid11Vic12Vob13Voa14GNDiso15Viso16U4ADUM 5402D1GND2VC C3R4Vref5C ANL6C ANH7R s8U5SN65HVD231DR1R E2DE3D4GND5A6B7VC C8U8SN75LB C 184DDGNDDGNDDVDD3.3SC ITXBSC IR XBC ANTXC ANR XISODISOGISOGIS

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論