智能電梯控制系統(tǒng)_第1頁
智能電梯控制系統(tǒng)_第2頁
智能電梯控制系統(tǒng)_第3頁
智能電梯控制系統(tǒng)_第4頁
智能電梯控制系統(tǒng)_第5頁
已閱讀5頁,還剩37頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

智能電梯控制系統(tǒng)Intelligentelevatorcontrolsystem摘要電梯控制系統(tǒng)是一個相當(dāng)輔助的邏輯控制系統(tǒng),系統(tǒng)要同時對幾百個信號進(jìn)行接收處理。隨著科學(xué)技術(shù)的進(jìn)步,基于FPGA、單片機(jī)、PLC等微機(jī)化控制已經(jīng)被廣泛應(yīng)用于電梯電路的設(shè)計與控制的各方面。我設(shè)計構(gòu)想是:不采用微控制器編程實(shí)現(xiàn)(如單片機(jī),PLC等),而采用邏輯門芯片和分立模擬器件實(shí)現(xiàn)。利用八線-三線優(yōu)先級編碼器74148,譯碼器CD4511及比較器CD4585、計數(shù)器CD4510等來實(shí)現(xiàn)其電梯控制功能。電路使用555的定時功能來控制上一層樓或下一層樓所用的時間,利用數(shù)碼管來顯示所到樓層數(shù),并用四個發(fā)光二極管來表示四層樓。以此來設(shè)計了一個八層電梯控制系統(tǒng)。關(guān)鍵詞:譯碼器CD4511;優(yōu)先編碼器74148;數(shù)碼管,ABSTRACTAsanauxiliarylogiccontrolsystem,elevatorcontrolsystemreceivesanddealswithhundredsofsignalsatthesametime.Inthewakeofdevelopmentsinscienceandtechnology,microcomputer-basedcontrolsuchasFPGA,single-chipmicrocomputerandPLCallhavebeenwidelyappliedinelevatordesign,circuitcontrolandotherrespects.Myconcepthereinthistextis:notoadoptmicrocontrollerfortheprogramming(suchassingle-chipmicrocomputerandPLC,etc)buttouselogicgatechipanddiscretesimulatortofulfillourtask.Tobespecific,weuse74148EightLine-Third-Linepriorityencoderasacontrolbutton(namelytriggeringlevel),decoderCD4511,comparatorCD4585andcounterCD4510toachieveitscontrolfunctions.Andweusethecontrolfunctionof555tocontrolthetimingongoingupanddownstairs,digitaltubetodisplaythestoreywegetandfourluminousdiodestorepresentthefourfloorsrespectively,thusfulfillingthetaskofdesigningafour-layerelevatorcontrolsystem.KeyWords:DecoderCD4511;PriorityEncoder74148;DigitalTube目錄MACROBUTTONInsertCrossReference第一章緒論 1課題的背景簡介 1課題的開發(fā)環(huán)境簡介 1第二章系統(tǒng)總體方案設(shè)計 3課題的意義 3設(shè)計要求 3電路原理框圖 4實(shí)現(xiàn)功能 4第三章ProteusISIS操作方法及應(yīng)用 6仿真軟件的應(yīng)用 6第四章單元電路的設(shè)計方案 12按鍵模塊部分 12目標(biāo)樓層號按鈕編碼電路 12比較制動電路 14與邏輯起動控制 15啟動控制電路的設(shè)計 16數(shù)碼管顯示模塊 16顯示譯碼器組成電路 16CD4510(可逆計數(shù)器)組成電路 18脈沖發(fā)生器模塊 20脈沖發(fā)生器 206S脈沖發(fā)生器 21LED滾動顯示模塊 22LED顯示電路譯碼器 22三位二進(jìn)制可逆計數(shù)器 24LED顯示器 25電梯開關(guān)門電路的實(shí)現(xiàn) 26原理圖與PCB圖 26第五章單元電路的擴(kuò)展方案 275.1電壓檢測模塊的實(shí)現(xiàn) 275.2電梯運(yùn)行故障語音報警電路的實(shí)現(xiàn) 275.3上位機(jī)軟件監(jiān)控系統(tǒng)的實(shí)現(xiàn) 28第六章安裝與調(diào)試 29安裝步驟 29調(diào)試步驟 29第七章故障分析與電路改進(jìn) 30故障分析 30電路改進(jìn) 30結(jié)論 1參考文獻(xiàn) 1致謝 2附錄 1第一章緒論課題的背景簡介電梯是高層賓館、商店、住宅、多層廠房和倉庫等高層建筑不可缺少的垂直方向的交通工具。隨著社會的發(fā)展,建筑物規(guī)模越來越大,樓層越來越多,對電梯的可靠性、舒適感和美學(xué)等方面的要求也有了更高要求。電梯是集機(jī)電一體的復(fù)雜系統(tǒng),不僅涉及機(jī)械傳動、電氣控制和土建等工程領(lǐng)域,還要考慮可靠性、舒適感和美學(xué)等問題。而對現(xiàn)代電梯而言,應(yīng)具有高度的安全性。事實(shí)上,電梯上已經(jīng)采用了多項(xiàng)安全保護(hù)措施。在設(shè)計電梯的時候,對機(jī)械零部件和電器元件都采取了很大的安全系數(shù)和保險系數(shù)。然而,只有電梯的制造,安裝調(diào)試、售后服務(wù)和維修保養(yǎng)都達(dá)到高質(zhì)量,才能全面保證電梯的最終高質(zhì)量。在國外,已“法規(guī)”實(shí)行電梯制造、安裝和維修一體化,實(shí)行由各制造企業(yè)認(rèn)可的、法規(guī)認(rèn)證的專業(yè)安裝隊(duì)伍維修單位,承擔(dān)安裝調(diào)試、定期維修和檢查試驗(yàn),從而為電梯運(yùn)行的可靠性和安全性提供了保證。因此,可以說乘坐電梯更安全。美國一家保險公司對電梯的安全性做過認(rèn)真地調(diào)查和科學(xué)計算,其結(jié)論是:乘電梯比走樓梯安全5倍。掘資料統(tǒng)計,在美國乘其他交通工具的人數(shù)每年約為80億人次,而乘電梯的人數(shù)每年卻有540億人次之多。課題的開發(fā)環(huán)境簡介ProteusISIS仿真軟件ProteusISIS是英國Labcenter公司開發(fā)的電路分析與實(shí)物仿真軟件。它運(yùn)行于Windows操作系統(tǒng)上,可以仿真、分析(SPICE)各種模擬器件和集成電路,該軟件的特點(diǎn)是:①實(shí)現(xiàn)了單片機(jī)仿真和SPICE電路仿真相結(jié)合。具有模擬電路仿真、數(shù)字電路仿真、單片機(jī)及其外圍電路組成的系統(tǒng)的仿真、RS232動態(tài)仿真、I2C調(diào)試器、SPI調(diào)試器、鍵盤和LCD系統(tǒng)仿真的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號發(fā)生器等。②支持主流單片機(jī)系統(tǒng)的仿真。目前支持的單片機(jī)類型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各種外圍芯片。③提供軟件調(diào)試功能。在硬件仿真系統(tǒng)中具有全速、單步、設(shè)置斷點(diǎn)等調(diào)試功能,同時可以觀察各個變量、寄存器等的當(dāng)前狀態(tài),因此在該軟件仿真系統(tǒng)中,也必須具有這些功能;同時支持第三方的軟件編譯和調(diào)試環(huán)境,如KeilC51uVision2等軟件。④具有強(qiáng)大的原理圖繪制功能??傊?,該軟件是一款集單片機(jī)和SPICE分析于一身的仿真軟件,功能極其強(qiáng)大。電路圖制作軟件ProtelDXP2004ProtelDXP2004是Altium公司于2004年推出的最新版本的電路設(shè)計軟件,該軟件能實(shí)現(xiàn)從概念設(shè)計,頂層設(shè)計知道輸出生產(chǎn)數(shù)據(jù)以及之間的所有分析驗(yàn)證和設(shè)計數(shù)據(jù)的管理。當(dāng)前比較流行的Protel98、Protel99SE,就是當(dāng)前的前期版本。ProtelDXP2004已經(jīng)不是單純的PCB(印制電路板)設(shè)計工具,而且由多個模塊組成的系統(tǒng)工具,分別SCH(原理圖)設(shè)計、SCH(原理圖)仿真、PCB(印制電路板)設(shè)計、AutoRouter(自動布線器)和FPGA設(shè)計等,覆蓋了以PCB為核心的整個物理設(shè)計。該軟件將項(xiàng)目管理方式、原理圖和PCB圖的雙向同步技術(shù)、多管道設(shè)計、拓?fù)渥詣硬季€以及電路仿真等技術(shù)結(jié)合在一起,為電路設(shè)計提供了強(qiáng)大的支持。與較早的版本——Protel99相比,ProtelDXP2004不僅在外觀上顯得更加豪華、人性化,而且極大地強(qiáng)化了電路設(shè)計的同步化,同時整合了VHDL和FPGA設(shè)計系統(tǒng),其功能大大加強(qiáng)了。第二章系統(tǒng)總體方案設(shè)計課題的意義本電路并沒有采用微控制器編程實(shí)現(xiàn)(如單片機(jī),PLC等),而采用邏輯門芯片和分立模擬器件來實(shí)現(xiàn)。本設(shè)計主要采用COMS相關(guān)電路和芯片,COMS電路具有制造工藝簡單,成本低,功耗小,輸入阻抗高,集成度高,電源電壓范圍寬等優(yōu)點(diǎn)。設(shè)計要求1、基本要求(只能采用邏輯門芯片和分立模擬器件實(shí)現(xiàn))(1)電梯內(nèi)部:開、關(guān)門鍵,樓層選擇鍵,報警鍵,樓層顯示,超重報警(重量可手動調(diào)節(jié)電位器模擬,大于設(shè)定值報警)。(2)電梯外部:上、下樓鍵,樓層顯示。外部呼梯信號可存儲。(3)樓層識別電路,開門關(guān)門到位信號,可利用人工模擬。(4)當(dāng)關(guān)門信號到位,樓層選擇完畢,超載稱重檢測無誤,可驅(qū)動電機(jī)動作(即功能控制板輸出信號)。運(yùn)行方向可用兩個指示燈表示(上行可用紅發(fā)光管,下行可用綠發(fā)光管)。開到選擇樓層后,電機(jī)停止(即輸出無信號)。(5)超載稱重檢測,若發(fā)生超載,發(fā)警報(發(fā)光管指示),電機(jī)不得動作(即功能控制板輸出無動作)。2、發(fā)揮部分(發(fā)揮部分可任選器件)(1)在PC機(jī)建立電梯運(yùn)行歷史表格,該表格顯示該部電梯5分鐘內(nèi)運(yùn)行情況。(內(nèi)容包括樓層數(shù)及運(yùn)行時間)(2)按報警鍵后,PC機(jī)可顯示故障內(nèi)容,包括:電梯門開不到位,關(guān)不到位。(3)電源質(zhì)量檢測,單相電源應(yīng)在180--240V,為正常,否則報警。方向信號圖2-1電路原理框圖LED顯示器數(shù)碼管顯示器顯示譯碼器顯示譯碼器N進(jìn)制可逆計數(shù)器M進(jìn)制可逆計數(shù)器脈沖發(fā)生器按鈕起動控制模擬位移傳感器信號目標(biāo)樓層按鈕編碼電路與邏輯起動控制比較制動電路制動信號(A=B)A=BBA保持A=B時置0CR無效CR無效CR有效復(fù)位位標(biāo)志輸出電路原理框圖方向信號圖2-1電路原理框圖LED顯示器數(shù)碼管顯示器顯示譯碼器顯示譯碼器N進(jìn)制可逆計數(shù)器M進(jìn)制可逆計數(shù)器脈沖發(fā)生器按鈕起動控制模擬位移傳感器信號目標(biāo)樓層按鈕編碼電路與邏輯起動控制比較制動電路制動信號(A=B)A=BBA保持A=B時置0CR無效CR無效CR有效復(fù)位位標(biāo)志輸出實(shí)現(xiàn)功能用四個LED顯示電梯行進(jìn)過程,并用數(shù)碼管顯示電梯當(dāng)前所在樓層的位置,每層電梯的入口處設(shè)有請求按鈕,按下按鈕,則相應(yīng)樓層的LED亮。電梯達(dá)到請求的樓層,該層的指示燈滅,電梯門打開;電梯自動關(guān)門,繼續(xù)運(yùn)行。電梯運(yùn)行中,運(yùn)用比較器選擇所到樓層的先后順序。圖2-2上行方向循環(huán)顯示圖2-3行循環(huán)顯示第三章ProteusISIS操作方法及應(yīng)用仿真軟件的應(yīng)用經(jīng)過一段時間的資料收集和方案論證后,我開始焊接電路,在焊接之前,為了保證電路無誤,在電腦上我使用ProteusISIS軟件對電路仿真。首先雙擊桌面上的ISIS7Professional圖標(biāo)或者單擊屏幕左下方的“開始”→“程序”→“Proteus7Professional”→“ISIS7Professional”,出現(xiàn)如圖1-1所示屏幕,表明進(jìn)入ProteusISIS集成環(huán)境。進(jìn)入ISIS7Professional工作界面,如下圖所示:圖3-1ISIS7Professional工作界面接下就可以開始繪制電路圖了,在左邊一小條邊框,如下圖所示,會看到繪圖工具欄,相應(yīng)的按鈕對應(yīng)相應(yīng)的元件庫。1、首先將所需元器件加入到對象選擇器窗口。PickingComponentsintotheSchematic單擊對象選擇器按鈕,如圖所示彈出“PickDevices”頁面,在“Keywords”輸入四位數(shù)值比較器4585,系統(tǒng)在對象庫中進(jìn)行搜索查找,并將搜索結(jié)果顯示在“Results”中,如圖所示。圖3-2pickDevices在“Results”欄中的列表項(xiàng)中,雙擊“4585”,則可將“4585”添加至對象選擇器窗口。接著在“Keywords”欄中重新輸入7SEG,如圖所示。雙擊“7SEG-COM-AN-GRN”,則可將“7SEG-COM-AN-GRN”(共陽7段LED顯示器)添加至對象選擇器窗口。圖3-3Keywords同樣,在“Keywords”欄中重新輸入RES、CAP、74148、CD4585、CD4511等芯片,在“Results”欄中獲得相應(yīng)的搜索結(jié)果。并雙擊添加至對象選擇器窗口。圖3-4經(jīng)過以上操作,在對象選擇器窗口中,已有了優(yōu)先編碼器74148、四位數(shù)值比較器4585、RES、CAP、計數(shù)器4510、雙D觸發(fā)器4013等元器件對象,若單擊4073,在預(yù)覽窗口中,見到4073的實(shí)物圖,如圖所示。此時,我們已注意到在繪圖工具欄中的元器件按鈕處于選中狀態(tài)。圖3-42、放置元器件至圖形編輯窗口PlacingComponentsontotheSchematic圖3-5在對象選擇器窗口中,選中74148,將鼠標(biāo)置于圖形編輯窗口該對象的欲放位置、單擊鼠標(biāo)左鍵,該對象被完成放置。同理,將各元件放置到圖形編輯窗口中。如圖所示。若對象位置需要移動,將鼠標(biāo)移到該對象上,單擊鼠標(biāo)右鍵,此時我們已經(jīng)注意到,該對象的顏色已變至紅色,表明該對象已被選中,按下鼠標(biāo)左鍵,拖動鼠標(biāo),將對象移至新位置后,松開鼠標(biāo),完成移動操作。3、放置電源、接地至圖形編輯窗口單擊繪圖工具欄中的按鈕,分別選擇POWER、GROUND。將鼠標(biāo)置于圖形編輯窗口,單擊鼠標(biāo)左鍵,放置電源和接地。4、元器件之間的連線WiringUpComponentsontheSchematicProteus的智能化可以在你想要畫線的時候進(jìn)行自動檢測。下面,我們來操作將單片機(jī)XTAL1引腳連接到電容C1右端。當(dāng)鼠標(biāo)的指針靠近XTAL1引腳的連接點(diǎn)時,跟著鼠標(biāo)的指針就會出現(xiàn)一個“×”號,表明找到了XTAL1引腳的連接點(diǎn),單擊鼠標(biāo)左鍵,移動鼠標(biāo)(不用拖動鼠標(biāo)),將鼠標(biāo)的指針靠近電容C1右端的連接點(diǎn)時,跟著鼠標(biāo)的指針就會出現(xiàn)一個“×”號,表明找到了電容C1右端的連接點(diǎn),同時屏幕上出現(xiàn)了連接,單擊鼠標(biāo)左鍵確認(rèn)此連接。連接過程中線形自動變成了90o的折線,這是因?yàn)槲覀冞x中了線路自動路徑功能。Proteus具有線路自動路徑功能(簡稱WAR),當(dāng)選中兩個連接點(diǎn)后,WAR將選擇一個合適的路徑連線。WAR可通過使用標(biāo)準(zhǔn)工具欄里的“WAR”命令按鈕來關(guān)閉或打開,也可以在菜單欄的“Tools”下找到這個圖標(biāo)。同理,我們可以完成其它連線。在此過程的任何時刻,都可以按ESC鍵或者單擊鼠標(biāo)的右鍵來放棄畫線。圖3-6至此,我便完成了整個電路圖的繪制。單擊仿真運(yùn)行開始按鈕,我們能清楚地觀察到每一個引腳的電頻變化,紅色代表高電頻,藍(lán)色代表低電頻。在數(shù)碼管顯示器上,顯示1。圖3-7仿真圖第四章單元電路的設(shè)計方案按鍵模塊部分4.1.1目標(biāo)樓層號按鈕編碼電路器件組成:74148(8—3編碼器),八個限流電阻,八個開關(guān)。74148是一個八線-三線優(yōu)先級編碼器。如圖所示的是八線-三線編碼器74148的慣用符號及管腳圖引腳圖。功能說明:74148優(yōu)先編碼器為16腳的集成芯片,除電源腳VCC(16)和GND(8)外,其余輸入、輸出腳的作用和腳號如圖中所標(biāo)。其中I0—I7為輸入信號,A2,A1,A0為三位二進(jìn)制編碼輸出信號,IE是使能輸入端,OE是使能輸出端,GS為片優(yōu)先編碼輸出端。1.由74148真值表可列輸出邏輯方程為:A2=(I4+I5+I6+I7)IEA1=(I2I4I5+I3I4I5+I6+7)·IEA0=(I1I2I4I6+I3I4I6+I5I6+I7)·IE2.使能輸出端OE的邏輯方程為:OE=I0·I1·I2·I3·I4·I5·67·IE當(dāng)使能輸入IE=1時,禁止編碼、輸出(反碼):A2,A1,A0為全1(如表第一行所示)。當(dāng)使能輸入IE=0時,允許編碼,在I0~I(xiàn)7輸入中,輸入I7優(yōu)先級最高,其余依次為:I6,I5,I4,I3,I2,I0,I0等級排列。OE為使能輸出端,它只在允許編碼(IE=0),而本片又沒有編碼輸入時為0(如表中第二行所示)。3.擴(kuò)展片優(yōu)先編碼輸出端GS的邏輯方程為:GS=(I0+I1+I2+I3+I4+I5+I6+I7)·IEGS為片優(yōu)先編碼輸出端,作為與邏輯起動控制的一個輸入控制信號,它在允許編碼(IE=0),且有編碼輸入信號時為0(如表中第三至第十行);若允許編碼而無編碼輸入信號時為1(如表第二行);在不允許編碼(IE=1)時,它也為1(如表第一行)。GS=0表示“電路工作,而且有編碼輸入”4.功能表如下(74148優(yōu)先編碼器真值表):表1輸入輸出IEI0I1I2I3I4I5I6I7A2A1A0GSOE1XXXXXXXX11111011111111111100XXXXXXX0000010XXXXXX01001010XXXXX011010010XXXX0111011010XXX01111100010XX011111101010X01111111100100111111111101電路圖如下:圖4-1目標(biāo)樓層號按鈕編碼電路4.1.2比較制動電路器件組成:CD4585功能說明:CD4585為四位數(shù)值比較器,輸入端十號接A0,七號接A1,二號接A2,十五號接A3。A0、A1、A2、A3分別接八進(jìn)制可逆計數(shù)器的輸出端。輸入端十一號接B0,九號接B1,一號接B2,十四號接B3。B0、B1、B2分別接編碼器的輸出端,B3接地。A與B進(jìn)行比較,輸出端F(A>B)作為與三位二進(jìn)制可逆計數(shù)器中的異或門控制輸入端,F(xiàn)(A=B)通過與非門與上述起動控制中的與門相連作為制動信號。當(dāng)A=B時使八進(jìn)制可逆計數(shù)器停止計數(shù)并保持。脈沖發(fā)生器的清零端有效,停止產(chǎn)生脈沖并保持。三位可逆計數(shù)器復(fù)位。輸出端(A>B)(A<B)作為方向信號控制八進(jìn)制可逆計數(shù)器、三位二進(jìn)制可逆計數(shù)器,使其實(shí)現(xiàn)加計數(shù)和減計數(shù)。為了輸出F(A=B)的輸出控制信號,應(yīng)使輸入端的A<B接地,A>B,A=B接高電平。電路圖如下:圖4-1CD4585補(bǔ)充說明:CD4585是四位數(shù)字比較器,可比較他的兩個輸入,比較后在A>B,A=B,A<B這三個輸出端輸出,輸入形式可以是二進(jìn)制,也可以是BCD碼。功能表如下:表2INPUTSOUTPUTSCOMPARINGCASCADINGA3,B3A2,B2A1,B1A0,B0A<BA=BA>BA<BA=BA>BA3>B3A3=B3A3=B3A3=B3×A2>B2A2=B2A2=B2××A1>B1A1=B1×××A0>B0××××××××1111000000001111A3=B3A3=B3A3=B3A2=B2A2=B2A2=B2A1=B1A1=B1A1=B1A0=B0A0=B0A0=B00010101××001010100A3=B3A3=B3A3=B3A3<B3A2=B2A2=B2A2<B2×A1=B1A1<B1××A0<B0×××××××××××××××111100000000×=Don'tCarelogic1=highlevellogic0=Lowlevel4.1.3與邏輯起動控制器件組成:CD4073(三三與門)、CD4069(六反相器)、開關(guān)、限流電阻。功能說明:按鈕起動控制、編碼電路輸出表示GS有效與比較制動電路A=B不成立,三者均滿足時,與邏輯起動控制輸出為高電平,控制D觸發(fā)器構(gòu)成的三位二進(jìn)制可逆計數(shù)器的清零端,和555定時器組成的和6S周期脈沖發(fā)生器的清零端。當(dāng)此與邏輯起動控制的輸出為高電平時,脈沖發(fā)生器產(chǎn)生正常脈沖,三位二進(jìn)制可逆計數(shù)器正常計數(shù)。當(dāng)此與邏輯起動控制的輸出為低電平時,脈沖發(fā)生器停止產(chǎn)生脈沖,并保持,三位二進(jìn)制可逆計數(shù)器停止計數(shù),并保持。開關(guān)閉合為高電平有效。電路圖如下:圖4-3與邏輯起動控制電路啟動控制電路的設(shè)計本部分我采用與門邏輯設(shè)計,與門的兩端分別連接系統(tǒng)工作指示電路和過載報警指示電路,當(dāng)有當(dāng)電路工作指示開關(guān)閉合,同時確保不過載的前提下才允許電路工作,當(dāng)樓梯過載時致使與門的一個輸入端為0,樓梯不能正常運(yùn)轉(zhuǎn),同時驅(qū)動后面的電壓比較器做出報警指示。數(shù)碼管顯示模塊4.3.1顯示譯碼器組成電路組成器件:CD4511顯示譯碼器、LED(數(shù)碼管)顯示器圖4-4CD4511CD4511是一個用于驅(qū)動共陰極LED(數(shù)碼管)顯示器的BCD碼——七段碼譯碼器,特點(diǎn)是:具有BCD轉(zhuǎn)換、消隱和鎖存控制、七段譯碼及驅(qū)動功能的CMOS電路能提供較大的拉電流??芍苯域?qū)動LED顯示器。CD4511芯片圖及引腳如下:一、CD4511引腳功能:BI:4腳是消隱輸入控制端,當(dāng)BI=0時,不管其它輸入端狀態(tài)是怎么樣的,七段數(shù)碼管都會處于消隱也就是不顯示的狀態(tài)。LE:鎖定控制端,當(dāng)LE=0時,允許譯碼輸出。LE=1時譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時的數(shù)值。LT:3腳是測試信號的輸入端,當(dāng)BI=1,LT=0時,譯碼輸出全為1,不管輸入DCBA狀態(tài)如何,七段均發(fā)亮全部顯示。它主要用來檢測數(shù)7段碼管是否有物理損壞。A1、A2、A3、A4、為8421BCD碼輸入端。a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。CD4511的里面有上拉電阻,可直接或者接一個電阻與七段數(shù)碼管接口。CD4511具有鎖存、譯碼、消隱功能,通常以反向器作輸出級,通常用以驅(qū)動LED。各引腳名稱:其中7、1、2、6分別表示A、B、C、D。5、4、3分別表示LE、BI、LT。13、12、11、10、9、15、14分別表示a、b、c、d、e、f、g。左邊引腳表示輸入,右邊引腳表示輸出,還有兩個引腳8、16分別表示的是接地和VDD.二、CD4511的工作原理1.譯碼CD4511譯碼器用兩級或非門擔(dān)任,為了簡化電路先用二輸入端與非門對輸入數(shù)據(jù)B、C進(jìn)行組合,得出00、01、10、11(用二進(jìn)制對B、C編碼)四項(xiàng),然后將輸入的數(shù)據(jù)A、D一起用或非門譯碼。2.鎖存功能譯碼器的鎖存電路由傳輸門和反向器組成,傳輸門的導(dǎo)通或截止由控制端LE的電平狀態(tài)。當(dāng)LE為低電平導(dǎo)通時,TG2截止;當(dāng)LE為高電平時,TG1截止,TG2導(dǎo)通,此時有鎖存作用。3.消隱BI為消隱功能端,該端施加低電平后,迫使七段數(shù)碼管均處于熄滅狀態(tài),不顯示數(shù)字,即字形消隱。消隱輸出J=(B+C)D+BI。如不考慮消隱BI項(xiàng),便得J=(B+C)D。根據(jù)上式,當(dāng)輸入BCD代碼從1010——1111時,J端都為高電平,從而使顯示器中的字形消隱。8421BCD碼對應(yīng)的顯示圖如下:圖4-54.3.2CD4510(可逆計數(shù)器)組成電路器件組成:CD4510(可逆計數(shù)器)功能說明:CD4510器件的1號接地,15號接CP端,9號接地。10號UP/DOWN接F(A<B),以控制加減計數(shù)。5號CARRYIN接F(A=B)為低電平時,UP/DOWN為高,進(jìn)行加計數(shù),UP/DOWN為低,進(jìn)行減計數(shù)。2號DOUT,14號COUT,11號BOUT,6號AOUT,分別接CD4510的D,C,B,A作為地址端以驅(qū)動顯示譯碼器。在使用時先將9號接高電平,使CD4510復(fù)位,然后再使9號接地。CD4510為可預(yù)置BCD可逆計數(shù)器,該器件主要尤四位具有同步時鐘的D型觸發(fā)器(具有選通結(jié)構(gòu),提供T型觸發(fā)器功能)構(gòu)成。具有可預(yù)置數(shù)、加減計數(shù)器和多片級聯(lián)使用等功能。CD4510具有復(fù)位CR,置數(shù)控制LD、并行數(shù)據(jù)D0—D3、加減控制U/D、時鐘CP和進(jìn)位CI等輸入。CR為高電平時,計數(shù)器清零。當(dāng)LD為高電平時,D0—D3上的數(shù)據(jù)置入計數(shù)器中,CI控制計數(shù)器的計數(shù)操作,CI=0時,允許計數(shù)。此時,若U/D為高電平,在CP時鐘上升沿計數(shù)器加1計數(shù);反之,在CP時鐘上升沿減1計數(shù)。除了四個Q輸出外,還有一個進(jìn)位/錯位輸出CO/BO。電路圖如下:圖4-6CD4510可逆計數(shù)器功能表如下表:表4CRLDCIU/DCPQ1XXXX清零01XXX預(yù)置0001↑加計數(shù)0000↑減計數(shù)001XX保持脈沖發(fā)生器模塊脈沖發(fā)生器器件組成:NE555定時器,電阻,電容。功能說明:根據(jù)NE555定時器構(gòu)成多諧振蕩器,使其周期為,周期公式為:T=(R1+2R2)*C/,R1=10K,R2=10K,C=10UF。1與5之間的電容為抗干擾電容。清零端和與邏輯起動控制輸出端即CD4073的輸出相連,可實(shí)現(xiàn)清零。只有當(dāng)按鈕起動控制、編碼電路輸出標(biāo)識GS有效與比較控制電路A=B不成立三者均滿足時,與邏輯起動控制的輸出為高電平,清零端無效,脈沖發(fā)生器產(chǎn)生正常脈沖;當(dāng)上述三者只要有一個不成立時,與邏輯起動控制的輸出為低電平,清零端有效,脈沖發(fā)生器停止產(chǎn)生脈沖,并保持。電路圖如下:圖4-6脈沖發(fā)生器555定時器功能表:表5輸入輸出高電平觸發(fā)端低電平觸發(fā)端復(fù)位輸出放電管VT狀態(tài)×<VCC>VCC×<VCC>VCC011010導(dǎo)通截止導(dǎo)通<VCC>VCC1不變不變6S脈沖發(fā)生器器件組成:NE555定時器,電阻電容。功能說明:作為電梯樓層間的時間間隔。根據(jù)NE555定時器構(gòu)成多諧振蕩器,使其周期為6S,周期公式為:T=(R1+2R2)*C/,R1=82K,R2=390K,C=10UF。1與5之間的電容為抗干擾電容。清零端和與邏輯起動控制輸出端即CD4073的輸出相連,可實(shí)現(xiàn)清零。只有當(dāng)按鈕起動控制、編碼電路輸出標(biāo)識GS有效與比較控制電路A=B不成立三者均滿足時,與邏輯起動控制的輸出為高電平,脈沖發(fā)生器產(chǎn)生正常脈沖;當(dāng)上述三者只要有一個不成立時,與邏輯起動控制的輸出為低電平,清零端有效,脈沖發(fā)生器停止產(chǎn)生脈沖,并保持。電路圖如下:圖4-76S脈沖發(fā)生器LED滾動顯示模塊4.6.1LED顯示電路譯碼器此譯碼器由五個兩輸入與門(4081),一個三輸入與門,三個三輸入或門組成。地址端為三位二進(jìn)制可逆計數(shù)器的輸出,通過此門電路組成的譯碼器驅(qū)動LED顯示器,通過可逆計數(shù)實(shí)現(xiàn)上行方向和下行方向的循環(huán)顯示??ㄖZ圖如下:表611111111000000101100100000110111經(jīng)卡諾圖得方程如下:Y3=Y2=Y1=Y0=其真值表為:表7Q2Q1Q0Y3Y2Y1Y000011110011110010110001110001000000101000111000111110111電路圖如下所示:圖4-8門電路組成的LED顯示電路譯碼器4.6.2三位二進(jìn)制可逆計數(shù)器器件組成:CD4013(雙D觸發(fā)器),CD4070(四2與或門)功能說明:此D觸發(fā)器為上升沿觸發(fā)器,通過脈沖發(fā)生器產(chǎn)生脈沖作為CP端輸入。將每個D觸發(fā)器的D端與Q’端相連構(gòu)成T’觸發(fā)器。將三個T’觸發(fā)器的清零端相連可實(shí)現(xiàn)復(fù)位,接控制電路三與門的輸出。三個S端連在一起接地。Q2,Q1,Q0作為輸出驅(qū)動顯示譯碼器的地址端。將Q與EI通過異或門實(shí)現(xiàn)加計數(shù)和減計數(shù):EI=0時減計數(shù),下行;EI=1時加計數(shù),上行。EI與CD4585的F(A>B)=0端即與13號相連,因?yàn)锽>A時,即編碼器的輸入比此時電梯顯示的樓層號大,應(yīng)該上行,而此時F(A<B)=1即EI=1加計數(shù)恰好可實(shí)現(xiàn)上行;當(dāng)B=A計數(shù)器被鎖定,故保持不變;B<A時F(A<B)=0即EI=0減計數(shù),恰好可以實(shí)現(xiàn)下行。下面對此構(gòu)成可逆計數(shù)器的器件進(jìn)行介紹:CD4013是有兩個具有置位和復(fù)位功能的觸發(fā)器構(gòu)成的。它以時鐘的低電平讀入,以高電平輸出。功能表如下:表7CLKDRSQQ’↑00001↑10010↓X00QQ’XX1001XX0110XX1111電路圖如下所示:圖4-9三位二進(jìn)制可逆計數(shù)器4.6.3LED顯示器器件組成:四個發(fā)光二極管,四個限流電阻。功能說明:發(fā)光二極管(LED)是用半導(dǎo)體材料制作的正向偏置的PN結(jié)二極管。其發(fā)光原理是當(dāng)在PN結(jié)兩端注入正向電流時,注入的非平衡載流子(電子——空穴對)在擴(kuò)散過程中復(fù)合發(fā)光。譯碼器輸出為高電平,所以LED顯示器為共陰輸出,通過他的亮與不亮,可以顯示上行和下行信號。電阻為限流作用,根據(jù)LED的額定電流確定電阻大小。電路圖如下所示:圖4-10電梯開關(guān)門電路的實(shí)現(xiàn)開關(guān)門電路的實(shí)現(xiàn)主控芯片我采用74LS193移位寄存器實(shí)現(xiàn),當(dāng)電梯停止時移位寄存器控制的LED會依次循環(huán)點(diǎn)亮,當(dāng)需要關(guān)門時LED會依次逆向循環(huán)點(diǎn)亮,當(dāng)開關(guān)門到位后會自動清除顯示移位寄存器,整個過程模擬了開關(guān)門的實(shí)現(xiàn),達(dá)到了理想的效果。圖4-11原理圖與PCB圖原理圖參考:附錄1。PCB圖參考:附錄2。第五章單元電路的擴(kuò)展方案5.1電壓檢測模塊的實(shí)現(xiàn)電源電壓的穩(wěn)定是系統(tǒng)正常工作的保證,電梯控制的安全性非常重要,更應(yīng)該保證電系統(tǒng)電源電壓的高穩(wěn)定性,為了保證能及時準(zhǔn)確的檢測到電源電壓的性能,我設(shè)計一個電壓比較器,可以任意設(shè)定電源報警電壓的大小值,進(jìn)而保證系統(tǒng)電源故障能被及時得到監(jiān)控。如圖所示:圖5-1電壓檢測5.2電梯運(yùn)行故障語音報警電路的實(shí)現(xiàn)電梯在運(yùn)行過程中如果出現(xiàn)故障,必須保證電梯內(nèi)人員的求助得到及時的響應(yīng),對此我們采用語音電路來實(shí)現(xiàn)電梯故障的報警和樓梯內(nèi)人員的呼叫,整個電路可以任意更換呼叫內(nèi)容,具有音質(zhì)好,清晰度高等特點(diǎn)完全可以滿足電梯報警的需要。語音電路如下:圖5-2語音電路5.3上位機(jī)軟件監(jiān)控系統(tǒng)的實(shí)現(xiàn)為了保證電梯的正常運(yùn)行得到實(shí)時的監(jiān)控,我們采用上位機(jī)監(jiān)控軟件MCGS設(shè)計了電梯運(yùn)行監(jiān)控系統(tǒng),該系統(tǒng)能準(zhǔn)確的監(jiān)控到電梯的運(yùn)行狀態(tài),并發(fā)出簡單的控制指令,保證了系統(tǒng)安全穩(wěn)定的運(yùn)行。圖5-3上位機(jī)監(jiān)控系統(tǒng)第六章安裝與調(diào)試安裝步驟⑴按照系統(tǒng)總的電路圖,合理規(guī)劃電路總布局,盡量使電路連接簡單明了。⑵首先一個模塊一個模塊連接,連接好一個模塊,就檢測一個,包括芯片,電路板,及導(dǎo)線是否接正確且接穩(wěn)等,以防整體檢測時不必要的麻煩。⑶整體連接完畢,進(jìn)行調(diào)試,看是否和仿真結(jié)果相同。如有出入,仔細(xì)檢測電路,查出問題所在。這樣不斷調(diào)試,直到達(dá)到預(yù)期結(jié)果。⑷改進(jìn)布線,力求精簡。調(diào)試步驟⑴打開電源開關(guān),接通電源,觀察信號燈和數(shù)碼顯示管的變化。⑵如有問題,首先檢查是否有電線松動,然后再調(diào)試其它部分。⑶檢查信號燈和數(shù)碼顯示管是否有損壞。⑷如果以上都們問題的話,此時應(yīng)該分成一個一個單元電路來調(diào)試,包括芯片,電路板,及導(dǎo)線是否接正確且接穩(wěn)等。第七章故障分析與電路改進(jìn)故障分析⑴秒脈沖信號發(fā)生電路是否產(chǎn)生脈沖:把萬用表調(diào)到20V電壓檔,萬用表負(fù)極接地,正極接555定時器“3”針腳,芯片通電后,看電壓變化是否變化明顯,高電平大于3V,低電平小于。如果不能產(chǎn)生脈沖,檢測555定時器的引腳是否接對,電阻和電容是否接正確,如果不是這些問題,就通過換芯片,看是否是芯片的問題,如果芯片也沒問題,就檢測是不是電路板有問題。⑵數(shù)碼顯示管不能正常顯示:看數(shù)碼管是怎樣顯示的,如果顯示亂碼,檢查七段碼譯碼器CD4511否接線正常,然后檢查各針腳對應(yīng)輸出是否正確,最后檢查數(shù)碼管接線是否正確。如果數(shù)碼管中某些段不亮,檢查接線是否正確,對應(yīng)針腳電壓是否變化。如果是某些段不滅也不完全亮,換一個數(shù)碼管試試。⑶信號燈不顯示或不按仿真的狀態(tài)顯示:檢查信號燈是否能發(fā)光,然后檢查信號燈接線處是否良好,最后檢查接線是否按照狀態(tài)方程連接正確。⑷數(shù)碼顯示管與信號燈配合有問題:按下某樓層的開關(guān)時,信號燈亮,當(dāng)數(shù)碼顯示管顯示到相應(yīng)的樓層時,信號燈熄滅。如果不是以上的結(jié)果,首先檢查JK觸發(fā)器的管腳是否連接好以及是否正確。然后再檢查同步可逆計數(shù)器(CD4510)的管腳是否連接好以及是否正確。接著就是四位數(shù)值比較器(CD4585)以及八線-三線優(yōu)先級編碼器(74148)的管腳連接正確與否。一步步檢查后,此問題便可解決。電路改進(jìn)總體來說,我覺得我設(shè)計的這個簡易電梯控制系統(tǒng)電路已經(jīng)相當(dāng)完美了。簡潔明了,功能模塊清楚。唯一不妥的是開關(guān)模塊這部分操作比較復(fù)雜,我覺得應(yīng)該與實(shí)際電梯上的開關(guān)模塊靠近,那樣可是電路更簡潔,操作更方便,而且不容易出錯。此外,各方面的一些問題有待探討和改進(jìn)。結(jié)論本次設(shè)計旨在更加熟悉掌握邏輯門的原理及實(shí)際應(yīng)用,掌握PCB板圖、仿真圖等繪制軟件的使用。這次做論文的經(jīng)歷也會使我終身受益,我感受到要真真正正用心去做的一件事情,是不容易的!而自己能夠在完成這次設(shè)計,真正是自己一個學(xué)習(xí)、研究和成長的過程,沒有學(xué)習(xí)就不可能有研究的能力,沒有自己的研究,就不會有所突破,那也就不會成長了。希望這次的經(jīng)歷能讓我在以后學(xué)習(xí)中激勵我繼續(xù)進(jìn)步。在本次設(shè)計中還有很多不足,但在老師同學(xué)的幫助下,我對其又相關(guān)知識作了進(jìn)一步系統(tǒng)的學(xué)習(xí)和了解。如電梯上升與下降的時間在實(shí)際過程中還是會有延時存在,還需不斷的進(jìn)行改進(jìn),才能做的更好。再如實(shí)際與理論還存在著一些差別,若是將理論板塊直接使用,可能會達(dá)不到預(yù)期的效果,在實(shí)際制作中還需不

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論