畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)_第1頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)_第2頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)_第3頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)_第4頁(yè)
畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩38頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于at89c51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)電子信息工程 蘇 麗指 導(dǎo) 教 師 周加超摘 要:本文研究的是以at89c51單片機(jī)為控制器的智能交通燈控制系統(tǒng),該系統(tǒng)通過(guò)紅外接收器接收信號(hào)實(shí)現(xiàn)特種車(chē)輛(119、120等)自動(dòng)放行;通過(guò)車(chē)輛檢測(cè)電路采集路況信號(hào),經(jīng)單片機(jī)處理后,分配各車(chē)道的綠燈時(shí)間,實(shí)現(xiàn)車(chē)流動(dòng)態(tài)調(diào)節(jié),并由74hc244驅(qū)動(dòng)led數(shù)碼管顯示通行倒計(jì)時(shí);左拐、右拐、直行及行人的通行指示燈采用雙色高亮度發(fā)光二極管,設(shè)計(jì)中還添加了聲音提示電路,方便盲人過(guò)人行道。本設(shè)計(jì)是以軟件和硬件相結(jié)合的方式來(lái)實(shí)現(xiàn),文中給出了具體的硬件電路圖和軟件流程圖及程序源碼。關(guān) 鍵 詞:智能交通燈,at89c51,

2、車(chē)輛檢測(cè),74hc244,led1 引言在人類的生活、工作環(huán)境中,交通扮演著極其重要的角色,人們無(wú)時(shí)無(wú)刻不與交通打交道。隨著我國(guó)國(guó)民經(jīng)濟(jì)的迅速發(fā)展和人口的快速增加,人們對(duì)各種交通車(chē)輛的需求更是越來(lái)越大,交通工具的迅猛發(fā)展以及道路資源的局限性,給城市交通帶來(lái)巨大的壓力,交通擁堵問(wèn)題已成為影響現(xiàn)代城市可持續(xù)發(fā)展的重要因素。要保證高效安全的交通秩序,除了制定一系列的交通規(guī)則,還必須通過(guò)一定的技術(shù)手段加以實(shí)現(xiàn)。作為車(chē)輛通行瓶頸所在的十字路口,通過(guò)研究其車(chē)輛通行規(guī)律,找出提高其車(chē)輛通行效率,對(duì)緩解交通擁堵,提高道路暢通率具有十分現(xiàn)實(shí)的意義1。國(guó)內(nèi)的在十字路口的交通燈,一般用紅 、綠 、黃三種顏色的指示燈

3、和一個(gè)倒計(jì)時(shí)的顯示計(jì)時(shí)器來(lái)控制行車(chē)。且絕大多數(shù)交通燈的時(shí)間都是設(shè)定好的。現(xiàn)在十字路口的交通燈存在著兩車(chē)道的車(chē)輛輪流放行時(shí)間相同且固定,一般主干道車(chē)輛較多,放行時(shí)間長(zhǎng)些;副干道車(chē)輛較少 ,放行時(shí)間短些的問(wèn)題,甚至可能出現(xiàn)一條車(chē)道上排著很長(zhǎng)的車(chē)隊(duì),而另一條車(chē)道上沒(méi)有車(chē)輛的情況;再者沒(méi)有考慮到當(dāng)有緊急車(chē)輛(如119、120)通過(guò)時(shí),兩車(chē)道應(yīng)自動(dòng)轉(zhuǎn)為特種車(chē)輛放行,其他車(chē)輛禁止通行的狀態(tài);還有欠缺對(duì)盲人這個(gè)特殊群體穿過(guò)道口時(shí)所遇困難的考慮2。傳統(tǒng)的交通燈控制系統(tǒng)存在的這些缺點(diǎn),說(shuō)明傳統(tǒng)的交通燈控制系統(tǒng)已經(jīng)不能適應(yīng)當(dāng)前城市交通發(fā)展的要求,不能最高效地利用城市的交通道路資源。城市交通控制系統(tǒng)是一個(gè)綜合度高而

4、又復(fù)雜的問(wèn)題,關(guān)系到政策、機(jī)構(gòu)、體制、管理、成本、基礎(chǔ)設(shè)施建設(shè)和投資各方面問(wèn)題。道路交通控制系統(tǒng)在近百年的發(fā)展中,經(jīng)歷了從手動(dòng)到自動(dòng)、從無(wú)感應(yīng)控制到有感應(yīng)控制、從固定配時(shí)到靈活配時(shí)、從單點(diǎn)控制到干線控制、從區(qū)域控制到網(wǎng)絡(luò)控制的長(zhǎng)遠(yuǎn)發(fā)展歷程。我國(guó)的交通是從新中國(guó)成立之后才開(kāi)始發(fā)展的,起步較晚,但隨著我國(guó)經(jīng)濟(jì)和社會(huì)高速發(fā)展對(duì)交通的需求急劇增加,對(duì)原有交通控制系統(tǒng)提出了嚴(yán)峻的挑戰(zhàn)。城市交通發(fā)展的規(guī)劃應(yīng)在廣泛借鑒和吸取國(guó)外先進(jìn)經(jīng)驗(yàn)的基礎(chǔ)上,結(jié)合我國(guó)城市交通運(yùn)輸?shù)默F(xiàn)狀和存在的問(wèn)題,建立并健全適合我國(guó)交通的城市交通控制系統(tǒng)。近年來(lái),國(guó)家雖然不斷加大城市道路建設(shè)的力度,但仍趕不上城市機(jī)動(dòng)車(chē)的增長(zhǎng)速度,我國(guó)城

5、市仍普遍存在道路面積偏低的問(wèn)題,這也是制約著我國(guó)大城市發(fā)展的一個(gè)重要原因。隨著交通需求越來(lái)越旺盛,車(chē)多、路多了,但運(yùn)營(yíng)成了瓶頸,運(yùn)輸效率逐步下降。我國(guó)與發(fā)達(dá)國(guó)家在車(chē)輛、道路、交通管理系統(tǒng)、人工智能技術(shù)在交通管制中的應(yīng)用、信息采集和提取等方面存在著很大的差距。由于交通控制系統(tǒng)不健全等原因,我國(guó)交通道口的交通事故率居高不下,且隨著城市交通運(yùn)量逐年的增長(zhǎng),城市車(chē)流行駛速度逐年下降,這些都是由于交通通行不佳。針對(duì)整個(gè)交通控制系統(tǒng)的發(fā)展?fàn)顩r,本設(shè)計(jì)根據(jù)道路交通擁擠,十字路口經(jīng)常出現(xiàn)擁堵的情況,運(yùn)用智能、集成,且功能強(qiáng)大的單片機(jī)芯片為控制核心,設(shè)計(jì)出了十字路口智能交通燈控制系統(tǒng),以改善十字路口實(shí)時(shí)通行狀況

6、。本設(shè)計(jì)與傳統(tǒng)交通燈比較,有以下幾點(diǎn)改進(jìn)措施:1)可根據(jù)十字路口的各道口車(chē)流量自動(dòng)調(diào)節(jié)通行時(shí)間;2)擁有車(chē)流量檢測(cè)電路和特種車(chē)輛自動(dòng)通行控制模塊,設(shè)計(jì)緊急切換開(kāi)關(guān);3)設(shè)置盲人提示音電路,考慮到社會(huì)弱勢(shì)群體通行問(wèn)題;4)進(jìn)行軟件系統(tǒng)的設(shè)計(jì),采用匯編語(yǔ)言編寫(xiě),簡(jiǎn)單、方便3。智能交通燈控制系統(tǒng)將有效地解決日趨嚴(yán)重的道路擁擠現(xiàn)象,緩解城市的交通壓力,減少交通事故發(fā)生率;減少了交通管理人員的大部分工作量,并為人們的出行節(jié)省了大量時(shí)間,創(chuàng)造出更多的社會(huì)價(jià)值,提高經(jīng)濟(jì)效益。2 方案設(shè)計(jì)及論證2.1 方案設(shè)計(jì)論證2.1.1 交通燈控制方法簡(jiǎn)介目前,國(guó)內(nèi)的交通燈設(shè)計(jì)方案有很多,有應(yīng)用plc對(duì)交通燈控制系統(tǒng)實(shí)現(xiàn)

7、控制的設(shè)計(jì);有應(yīng)用cpld實(shí)現(xiàn)對(duì)交通燈控制系統(tǒng)的設(shè)計(jì);有應(yīng)用單片機(jī)對(duì)交通燈控制系統(tǒng)實(shí)現(xiàn)控制的設(shè)計(jì)4。交通燈一般設(shè)置在十字路口,用紅、綠兩種顏色的指示燈,并加上顯示倒計(jì)時(shí)的計(jì)時(shí)器來(lái)控制車(chē)輛通行。本設(shè)計(jì)采用標(biāo)準(zhǔn)at89c51單片機(jī)作為控制器,采用3位led數(shù)碼管顯示通行倒計(jì)時(shí);左拐、右拐、直行及行人的通行指示燈采用的是高亮發(fā)光二極管;led顯示采用動(dòng)態(tài)掃描,以節(jié)省端口數(shù)。特種車(chē)輛通行采用紅外線發(fā)射及接收識(shí)別方法實(shí)施中斷完成,采用霍爾車(chē)輛檢測(cè)傳感器檢測(cè)電路完成車(chē)流量大小的檢測(cè)。由于at89c51單片機(jī)自身帶有2個(gè)定時(shí)/計(jì)數(shù)器、5個(gè)中斷源,端口剛好滿足要求。該系統(tǒng)具有電路簡(jiǎn)單,設(shè)計(jì)方便,顯示亮度高,耗

8、電少,可靠性高等優(yōu)點(diǎn)。2.1.2 交通燈控制系統(tǒng)的總體方案設(shè)計(jì)本設(shè)計(jì)研究的是基于at89c51單片機(jī)的交通燈智能控制系統(tǒng)。根據(jù)交通控制系統(tǒng)的設(shè)計(jì)原理,闡述了硬件和軟件方面開(kāi)發(fā)的整個(gè)過(guò)程。主控系統(tǒng)采用at89c51單片機(jī)作為控制器,控制通行倒計(jì)時(shí)及右拐、右拐、直行、行人的通行,占用端口少,耗電也最小。系統(tǒng)電源采用獨(dú)立的+5v穩(wěn)壓電源,有各種成熟電路可供選用,使此方案可靠穩(wěn)定5。該設(shè)計(jì)可直接在i/o口上接按鍵開(kāi)關(guān),精簡(jiǎn)并優(yōu)化了電路。結(jié)合實(shí)際情況,顯示界面采用點(diǎn)陣led數(shù)碼管動(dòng)態(tài)掃描的方法,滿足了倒計(jì)時(shí)的時(shí)間顯示輸出和狀態(tài)燈提示信息輸出的要求,減少系統(tǒng)的復(fù)雜度。2.2 交通燈控制系統(tǒng)硬件框圖該交通燈

9、控制系統(tǒng)有以下幾個(gè)部分組成:車(chē)輛檢測(cè)、緊急控制、單片機(jī)、驅(qū)動(dòng)和顯示電路6。如圖2.1所示: p1 p2at89c51 p0rstp3南北通行燈東西通行燈led驅(qū)動(dòng)器3位led顯示器特種車(chē)輛自動(dòng)通行控制模塊車(chē)輛檢測(cè)電路上電復(fù)位自動(dòng)/手動(dòng)鍵盤(pán)圖 2.1系統(tǒng)硬件組成總框圖2.3 交通燈控制系統(tǒng)工作原理 本系統(tǒng)運(yùn)用單片機(jī)對(duì)交通燈控制系統(tǒng)實(shí)施控制,通過(guò)直接控制信號(hào)燈的狀態(tài)變化,指揮交通的具體運(yùn)行,運(yùn)用了led數(shù)碼管顯示倒計(jì)時(shí)以提醒行駛者,更添加了盲人提示音電路,方便視力障礙群體通行,更具人性化。在此基礎(chǔ)上,加入了特種車(chē)輛自動(dòng)通行控制模塊和車(chē)流量檢測(cè)電路為系統(tǒng)采集數(shù)據(jù),經(jīng)單片機(jī)進(jìn)行具體處理,及時(shí)調(diào)整通行方

10、向。由此,本設(shè)計(jì)系統(tǒng)以單片機(jī)為控制核心,構(gòu)成最小系統(tǒng),根據(jù)特種車(chē)輛自動(dòng)通行控制模塊、車(chē)輛檢測(cè)模塊和按鍵設(shè)置模塊等產(chǎn)生輸入,由信號(hào)燈狀態(tài)模塊,led倒計(jì)時(shí)模塊和盲人提示音模塊輸出7。系統(tǒng)進(jìn)入工作狀態(tài),led數(shù)碼管實(shí)時(shí)顯示數(shù)據(jù)倒計(jì)時(shí),執(zhí)行交通燈狀態(tài)顯示控制,在此過(guò)程中若有控制信號(hào)和實(shí)時(shí)車(chē)流量檢測(cè)信號(hào),可對(duì)異常狀態(tài)進(jìn)行實(shí)時(shí)控制,隨時(shí)調(diào)用中斷,達(dá)到修正通行時(shí)間滿足不同時(shí)間不同路況的需求。3 交通燈控制系統(tǒng)硬件設(shè)計(jì)3.1 系統(tǒng)硬件組成及原理圖 根據(jù)要實(shí)現(xiàn)的具體功能,經(jīng)過(guò)比較,我選用at89c51單片機(jī)及外圍器件構(gòu)成最小控制系統(tǒng),4組雙色燈構(gòu)成信號(hào)燈指示模塊,東西南北方向分別構(gòu)成倒計(jì)時(shí)顯示模塊,ccd采集

11、車(chē)輛數(shù)量數(shù)據(jù),紅外線接收器接收中斷信號(hào),使實(shí)時(shí)中斷來(lái)響應(yīng)特種車(chē)輛的通行要求,接led顯示器8。硬件原理圖如圖3.1所示:?jiǎn)纹瑱C(jī)控制系統(tǒng)模塊ccd時(shí)間顯示模塊特殊車(chē)輛轉(zhuǎn)換模塊二值化電路驅(qū)動(dòng)電路圖3.1硬件電路原理圖3.2 單片機(jī)at89c51at89c51是一種高效微控制器,它是美國(guó)atmel公司生產(chǎn)的低電壓,高性能cmos8位單片機(jī),片內(nèi)含4kbytes的可反復(fù)擦寫(xiě)的flash只讀程序存儲(chǔ)器(fperomflash programmable and erasable read only memory) 和1288位的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(ram),該器件采用atmel公司的高密度、非易失性存儲(chǔ)技

12、術(shù)生產(chǎn),它與mcs-51系統(tǒng)產(chǎn)品兼容,at89c51單片機(jī)功能強(qiáng)大,具有8kb中央處理器(cpu)和4kbflash程序存儲(chǔ)器,性價(jià)比高,可應(yīng)用于很多要求高性價(jià)比的場(chǎng)合,靈活地應(yīng)用于各個(gè)控制領(lǐng)域9。3.2.1 at89c51的主要性能內(nèi)含4kb可重編程的fperom;與mcs-51產(chǎn)品指令系統(tǒng)完全兼容;1288位的內(nèi)部ram;4個(gè)8位(32根)雙向可位尋址的i/o端口;2個(gè)16位的計(jì)數(shù)/定時(shí)器;全雙工方式的串行通道(uart);6個(gè)中斷源;5個(gè)向量二級(jí)中斷結(jié)構(gòu);最高時(shí)鐘振蕩頻率可達(dá)12mhz;指令集中64條為單周期指令,支持6種尋址方式,共111條指令;低功耗空閑和掉電方式;片內(nèi)振蕩器和時(shí)鐘電

13、路。3.2.2 at89c51的引腳功能at89c51為雙列直插(dip)式封裝的51單片機(jī)芯片,有40條引腳,其引腳示意及功能分類如圖3.2所示。圖3.2 89c51單片機(jī)引腳圖各引腳功能說(shuō)明如下:(1)主電源引腳 vcc(40腳):接+5(120)v電源正端; vss(20腳):接地。(2)i/o引腳p0口(3932腳):p0.0p0.7統(tǒng)稱為p0口。p0口是一組8位漏極開(kāi)路型雙向i/o口,分時(shí)復(fù)用為低8位地址總線和雙向數(shù)據(jù)總線。作為輸出口用時(shí),每位能吸收電流的方式驅(qū)動(dòng)8個(gè)邏輯門(mén)電路,對(duì)端口p0寫(xiě)“1”時(shí),可作為高阻抗輸入端用。在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這組端口線分時(shí)轉(zhuǎn)換地址(低8位)和數(shù)據(jù)

14、總線復(fù)用,在訪問(wèn)期間激活內(nèi)部上拉電阻。在flash編程時(shí),p0口作為原碼輸入口,當(dāng)flash進(jìn)行校驗(yàn)時(shí),p0口輸出原碼,此時(shí)p0外部必須被拉高。p1口(18腳):p1.0p1.7統(tǒng)稱為p1口,可作為準(zhǔn)雙向i/o口使用。p1是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o口,p1口緩沖器能接收輸出4ttl門(mén)電流。對(duì)端口寫(xiě)“1”,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口。p1口被外部下拉為低電平時(shí),輸出電流,是因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流。在flash編程和校驗(yàn)時(shí),p1口作為第八位地址接收。p2口(2128腳):p2.0p2.7統(tǒng)稱為p2口,一般作為準(zhǔn)雙向i/o使用。

15、p2是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o口。p2的輸出緩沖器可驅(qū)動(dòng)4個(gè)ttl邏輯門(mén)電路。當(dāng)對(duì)p2端口寫(xiě)“1”時(shí),內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口。作輸入口使用時(shí),由于內(nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(iil)。在接有片外存儲(chǔ)器或擴(kuò)展i/o口且尋址范圍超過(guò)256b時(shí),p2口用作高8位地址總線。當(dāng)給出地址為“1”時(shí),它就利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀/寫(xiě)時(shí),p2口便輸出其特殊功能寄存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),p2口接收高八位地址信號(hào)和控制信號(hào)。p3口(1017腳):p3.0p3.7統(tǒng)稱為p3口。p3口是一組帶有內(nèi)部上拉電阻的8位雙

16、向i/o口。p3口的輸出緩沖器可驅(qū)動(dòng)4個(gè)ttl邏輯門(mén)電路接收輸出電流。當(dāng)p3口寫(xiě)“1”時(shí),通過(guò)內(nèi)部的上拉電阻上拉為高電平并作為輸入口。此時(shí)由于外部下拉為低電平,p3口將輸出電流(iil)。除作為準(zhǔn)雙向i/o口使用外,還可以將每一位用于第二功能,而且p3口的每一條引腳均可獨(dú)立定義為第一功能的輸入輸出或第二功能。p3口第二功能祥見(jiàn)表3.1 :表 3.1 p3口第二功能表口線第二功能信號(hào)名稱p3.0rxd串行數(shù)據(jù)接受p3.1txd串行數(shù)據(jù)發(fā)送p3.2外中斷0申請(qǐng)p3.3外中斷1申請(qǐng)p3.4t0定時(shí)器/計(jì)數(shù)器0計(jì)數(shù)輸入p3.5t1定時(shí)器/計(jì)數(shù)器1計(jì)數(shù)輸入p3.6外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通p3.7外部數(shù)據(jù)存儲(chǔ)

17、器讀選通(3)外接晶體引腳xtal1(19腳):它在單片機(jī)內(nèi)部是一個(gè)反向放大器的輸入端,構(gòu)成了片內(nèi)振蕩器。當(dāng)采用外部時(shí)鐘時(shí),hmos單片機(jī)的該引腳應(yīng)接地;chmos單片機(jī)的該引腳作為外部振蕩信號(hào)的輸入端。xtal2(18腳):它在單片機(jī)內(nèi)部是片內(nèi)振蕩器的反向放大器的輸出端。當(dāng)采用外部時(shí)鐘時(shí),hmos單片機(jī)的該引腳作為外部振蕩信號(hào)的輸入端;chmos單片機(jī)的該引腳應(yīng)懸空不接。(4)控制線ale/ (30腳):地址鎖存允許/編程信號(hào)。在訪問(wèn)片外程序存儲(chǔ)器期間,此信號(hào)可用于控制鎖存p0輸出地址總線的低8位,ale以每機(jī)器周期兩次進(jìn)行信號(hào)輸出;在flash編程期間,此引腳用作編程脈沖的輸入端。在平時(shí),

18、ale端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率fosc的1/6,可作為對(duì)外輸出的時(shí)鐘脈沖或用于定時(shí)目的。但要注意的是:在訪問(wèn)片外數(shù)據(jù)存儲(chǔ)器期間,ale脈沖會(huì)跳空一個(gè)。若想禁止ale的輸出可在sfr8eh地址上置0。此時(shí), ale執(zhí)行movx,movc指令使ale起作用。另外,該引腳將被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ale禁止,置位無(wú)效。(29腳):片外程序存儲(chǔ)器讀選通信號(hào)輸出端,低電平有效。在由外部程序存儲(chǔ)器讀取指令或常數(shù)期間,每個(gè)機(jī)器周期內(nèi)兩次有效,p0口讀回指令或常數(shù)。當(dāng)訪問(wèn)內(nèi)部程序存儲(chǔ)器時(shí),信號(hào)不跳變。rst/vpd (9腳):rst即reset,vpd為備用電源,該引

19、腳為單片機(jī)的上電復(fù)位或掉電保護(hù)端。當(dāng)單片機(jī)振蕩器工作時(shí),該引腳上出現(xiàn)持續(xù)兩個(gè)機(jī)器周期的高電平,就可實(shí)現(xiàn)復(fù)位操作,使單片機(jī)回復(fù)到初始狀態(tài)。上電時(shí),考慮到振蕩器有一定的起振時(shí)間,該引腳上高電平必須持續(xù)10ms以上才能保證有效復(fù)位。當(dāng)vcc發(fā)生故障,降低到低電平規(guī)定值或掉電時(shí),該引腳可接上備用電源vpd(+5v)為內(nèi)部ram供電,以保證ram中的數(shù)據(jù)不丟失。/vpp(30腳):為片外程序存儲(chǔ)器選用端,訪問(wèn)內(nèi)部程序存儲(chǔ)器控制信號(hào)。當(dāng)端接高電平時(shí),cpu訪問(wèn)內(nèi)部程序存儲(chǔ)器。當(dāng)接低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000h-ffffh),則強(qiáng)調(diào)cpu訪問(wèn)外部存儲(chǔ)器,而不管程序計(jì)數(shù)器的內(nèi)容是多少。此外,該

20、引腳還用做eprom編程電壓的輸入端。在編程期間,此引腳用作21v編程電源vpp的輸入端。3.2.3 at89c51的內(nèi)部結(jié)構(gòu)89c51單片機(jī)內(nèi)部組成結(jié)構(gòu)中包含運(yùn)算器和控制器(cpu)、片內(nèi)存儲(chǔ)器、4個(gè)并行i/o接、串行口、定時(shí)/計(jì)數(shù)器、中斷系統(tǒng)、振蕩器等功能部件10。其內(nèi)部結(jié)構(gòu)框圖如圖3.3所示。圖中pc是程序計(jì)數(shù)器;psw是程序狀態(tài)字寄存器;dptr是數(shù)據(jù)指針寄存器。圖3.3 at89c51單片機(jī)內(nèi)部結(jié)構(gòu)框圖運(yùn)算器和控制器89c51的運(yùn)算器和控制器功能類似于一般微機(jī)中的微處理器(cpu),是單片機(jī)的核心部件,它決定了單片機(jī)的主要功能特性。它完成邏輯算術(shù)運(yùn)算并協(xié)調(diào)單片機(jī)其它各部分的工作。各種

21、算術(shù)、邏輯運(yùn)算所涉及到的寄存器包括:累加器acc、寄存器b、暫存器1(temp1)和暫存器2(temp2)、程序狀態(tài)字寄存器psw,程序計(jì)數(shù)器pc,堆棧指針sp,數(shù)據(jù)指針寄存器dptr等。它們位于cpu內(nèi)部,又稱cpu專用寄存器,以區(qū)別于i/o接口專用寄存器。存儲(chǔ)器mcs-51系列單片機(jī)存儲(chǔ)器組成是所謂的哈佛結(jié)構(gòu),存儲(chǔ)器的組織方式與通用單片機(jī)系統(tǒng)不同,包含程序存儲(chǔ)器與數(shù)據(jù)存儲(chǔ)器,其地址空間是相互獨(dú)立的,而不是程序存儲(chǔ)器與數(shù)據(jù)存儲(chǔ)器統(tǒng)一編址。在89c51單片機(jī)中,程序存儲(chǔ)器采用eeprom,而數(shù)據(jù)存儲(chǔ)器采用ram。它們又可以進(jìn)一步分成內(nèi)部或外部?jī)深?。程序存?chǔ)器 程序存儲(chǔ)器內(nèi)部和外部是統(tǒng)一連續(xù)編址

22、的,內(nèi)部占用地址空間的低4kb,地址0000h0fffh,外部地址范圍1000hffffh,共60kb。程序存儲(chǔ)器主要用來(lái)存放程序和常數(shù)。當(dāng)程序計(jì)數(shù)器pc由內(nèi)部rom開(kāi)始執(zhí)行到外部rom時(shí),會(huì)自動(dòng)尋址外接程序存儲(chǔ)器。程序地址空間原則上可由用戶任意安排,但復(fù)位和中斷源的程序入口地址在51系列單片機(jī)中是固定的,用戶不能改變。入口地址見(jiàn)表3.2。復(fù)位后,cpu從0000h地址開(kāi)始執(zhí)行程序。其他地址為中斷服務(wù)程序入口地址,響應(yīng)某個(gè)中斷時(shí),將自動(dòng)從其對(duì)應(yīng)的入口地址執(zhí)行中斷服務(wù)程序。表 3.2 51單片機(jī)復(fù)位、中斷入口地址操 作入口地址復(fù)位0000h外部中斷00003h定時(shí)器/計(jì)數(shù)器0溢出000bh外部中

23、斷10013h定時(shí)器/計(jì)數(shù)器1溢出001bh串行口中斷0023h定時(shí)器/計(jì)數(shù)器2溢出或t2ex端負(fù)跳變(52子系列)002bh數(shù)據(jù)存儲(chǔ)器 mcs-51系列單片機(jī)數(shù)據(jù)存儲(chǔ)器也有內(nèi)部、外部之分。但與程序存儲(chǔ)器不同,片內(nèi)、片外存儲(chǔ)器是分別獨(dú)立編址的,片內(nèi)數(shù)據(jù)存儲(chǔ)器除ram塊外,還有特殊功能寄存器(sfr)塊,其中片內(nèi)數(shù)據(jù)存儲(chǔ)器有128個(gè)字節(jié),其編制為00hffh;特殊功能寄存器也占128個(gè)字節(jié),其編制為80hffh;二者連續(xù)而不重疊。外部ram地址范圍0000hffffh,共64kb。內(nèi)部存儲(chǔ)器可直接尋址。盡管片內(nèi)、片外地址空間的低256b有重疊,但尋址并不會(huì)造成混亂。這是因?yàn)槠瑑?nèi)、片外存儲(chǔ)器使用不

24、同的指令(mov和movx)。擴(kuò)展的i/o地址也占用數(shù)據(jù)存儲(chǔ)器空間。對(duì)i/o端口操作無(wú)須特殊指令且訪問(wèn)程序存儲(chǔ)器是用信號(hào)選通,而訪問(wèn)片外數(shù)據(jù)存儲(chǔ)器時(shí),由信號(hào)(讀)和信號(hào)(寫(xiě))選通。寄存器區(qū) 內(nèi)部數(shù)據(jù)存儲(chǔ)器分為4個(gè)區(qū)域,數(shù)據(jù)ram用于存放臨時(shí)變量,下面介紹其他三個(gè)寄存器區(qū):a) 工作寄存器區(qū) 它占用地址00ffh的32個(gè)內(nèi)存單元,又分成4個(gè)區(qū)。每個(gè)區(qū)有r0r7共8個(gè)工作寄存器。工作寄存器區(qū)的選擇又由程序狀態(tài)寄存器psw的第4位和第3位(rs1和rs0)共同指定。單片機(jī)復(fù)位時(shí),rs1和rs0為零,故指向0區(qū)。通過(guò)位操作改變r(jià)s1和rs0的值,可以方便地指向任一個(gè)區(qū)間。b) 位尋址區(qū) 每位都有一個(gè)獨(dú)

25、立的8位地址(占據(jù)空間007f),共128位。此外,在專用寄存器sfr中還有一部分是可以位尋址的(有些位可能無(wú)定義)。c) 專用寄存器區(qū) 共有21個(gè)專用寄存器sfr,位于80ffh地址空間。這些寄存器又可以分為cpu專用寄存器和接口專用寄存器。cpu專用寄存器前面己經(jīng)提過(guò),而接口專用寄存器包括兩部分。一部分就是單片機(jī)的i/o端口p0p3,分別編址為80h、90h、a0h、b0h,共4個(gè)單元,32位,每一位都可以獨(dú)立尋址。另一部分為定時(shí)/計(jì)數(shù)器,串行口、中斷的一些控制寄存器。定時(shí)/計(jì)數(shù)器89c51有兩個(gè)16位定時(shí)/計(jì)數(shù)器(t0,t1)。在定時(shí)功能中,每個(gè)機(jī)器周期定時(shí)器加1,由于l個(gè)機(jī)器周期包含1

26、2個(gè)振蕩周期,因而它的計(jì)數(shù)頻率為1/12,即由定時(shí)器計(jì)數(shù)到的脈沖為振蕩周期頻率的1/12。在計(jì)數(shù)器功能中,在外部事件相應(yīng)輸入腳(t0或t1)產(chǎn)生負(fù)跳變時(shí),計(jì)數(shù)器加1。由于計(jì)數(shù)器的計(jì)數(shù)過(guò)程需要2個(gè)機(jī)器周期(24個(gè)振蕩周期),所以,最高的計(jì)數(shù)頻率為振蕩頻率的1/24。這兩個(gè)定時(shí)/計(jì)數(shù)器的工作狀態(tài)(定時(shí)/計(jì)數(shù))及工作方式(方式0方式3)的選擇是由定時(shí)/計(jì)數(shù)器方式寄存器(tmod)中的每位值所決定的。定時(shí)/計(jì)數(shù)器的控制由控制寄存器(tcon)完成。i/o口 89c51不僅有4個(gè)8位并行口,供單片機(jī)和外部ram、eeprom等擴(kuò)展連接用或與其它設(shè)備交換信息用,它還有一個(gè)全雙工串行口,能同時(shí)發(fā)送和接收數(shù)據(jù)

27、。在前面的引腳功能中已對(duì)并行口作了簡(jiǎn)要介紹,在此就主要介紹一下串行口。串行口也就是p3.0和p3.1的第二功能。它既能工作在異步方式,又能工作在同步方式。該串行口是全雙工的,它在物理上分為兩個(gè)獨(dú)立的發(fā)送緩沖器和接收緩沖器sbuf,但它們占用一個(gè)特殊功能寄存器的地址99h,只需對(duì)sbuf進(jìn)行寫(xiě)或讀的操作,就可以同時(shí)發(fā)送和接收了。串行口的工作方式選擇、波特率選擇、串行通信協(xié)議的完成,由兩個(gè)特殊功能寄存器,即串行口控制寄存器scon和功耗控制寄存器pcon完成。中斷89c51單片機(jī)提供了6個(gè)中斷源,而每一個(gè)中斷源都能被程控為高優(yōu)先級(jí)或低優(yōu)先級(jí)。其中5個(gè)中斷源包括2個(gè)外部中斷和3個(gè)內(nèi)部中斷。兩個(gè)外部中

28、斷源為int0和int1,外部設(shè)備的中斷請(qǐng)求信號(hào)、掉電等故障信號(hào)都可以從int0而和int1引腳輸入,向cpu提出中斷申請(qǐng),int0和int1的中斷請(qǐng)求標(biāo)志ie0、ie1分別設(shè)在tcon寄存器的tcon.1、tcon.3。3個(gè)內(nèi)部中斷源為t0、tl溢出中斷源及片內(nèi)串行發(fā)送或接收中斷源,t0、tl中斷請(qǐng)求標(biāo)志tf0和tf1分別設(shè)在tcon寄存器的tcon.5、tcon.7,串行發(fā)送或接收中斷標(biāo)志ti或ri設(shè)在scon寄存器的第scon.0、scon.1。5個(gè)中斷源中的一個(gè)、幾個(gè)或全部中斷源的開(kāi)、關(guān)由中斷允許寄存器(ie)完成,而每個(gè)中斷源的優(yōu)先級(jí)別的高低由中斷優(yōu)先級(jí)控制寄存器(ip)完成。89c

29、51單片機(jī)中斷源簡(jiǎn)要特性見(jiàn)表3.3。表3.3 中斷源特性表名稱符號(hào)標(biāo)志符號(hào)標(biāo)志符號(hào)位置矢量地址優(yōu)先級(jí)別外部中斷int0ie0tcon.10003h最高最低定時(shí)器0溢出中斷tf0tf0tcon.5000bh外部中斷1int1ie1tcon.30013h定時(shí)器1溢出中斷tf1tf1tcon.7001bh串行口中斷r1+t1r1scon.00023ht1scon.13.3 各模塊電路3.3.1 主控制系統(tǒng)主控器采用at89c51,是美國(guó)atmel公司生產(chǎn)的一款性能穩(wěn)定、低功耗的單片機(jī),兼容mcs-51系列產(chǎn)品指令系統(tǒng)及引腳。片內(nèi)含4kb的可重復(fù)編程的flash程序存儲(chǔ)器,器件采用atmel公司的高密

30、度、非易失性存儲(chǔ)技術(shù)生產(chǎn),使用5(120)v的電源電壓, 1288位的內(nèi)部ram,4個(gè)8位的雙向可位尋址的i/o端口,2個(gè)16位定時(shí)/計(jì)數(shù)器,6個(gè)中斷源,at89c51單片機(jī)可提供許多高性價(jià)比的應(yīng)用,靈活應(yīng)用于各種控制領(lǐng)域。 單片機(jī)的p1口及p2口分別應(yīng)用于控制南北及東西方向的通行燈,p0口及p3.0p3.2口應(yīng)用于4組led計(jì)時(shí)器的控制,t0和t1分別作為東西方向和南北方向和車(chē)流量流量控制,int0和int1分別用于東西方向和南北方向的特種車(chē)輛通行緊急轉(zhuǎn)換電路11。3.3.2 車(chē)輛檢測(cè)電路為了達(dá)到對(duì)紅綠燈開(kāi)啟時(shí)間的控制,需要對(duì)十字路口各個(gè)方向的車(chē)輛進(jìn)行檢測(cè),本文研究的是用ccd圖像傳感器實(shí)時(shí)

31、拍攝(本文選取距十字路口100m內(nèi))路況,采用微分二支電路處理ccd信號(hào),使成二值化信號(hào),單片機(jī)再讀入數(shù)據(jù),判斷車(chē)隊(duì)長(zhǎng)度。實(shí)現(xiàn)對(duì)路口紅綠燈時(shí)間進(jìn)行動(dòng)態(tài)調(diào)節(jié)。這樣就可以大大提高車(chē)輛通過(guò)率,有效緩解交通壓力。車(chē)輛檢測(cè)電路如圖3.4所示。圖3.4 車(chē)輛檢測(cè)電路3.3.3 通行燈輸出控制道口交通燈指示采用高亮度紅綠雙色發(fā)光二極管,左拐、右拐、直行及行人各一個(gè)。當(dāng)發(fā)光電流為6ma時(shí),可按公式r=(51.8)0.006計(jì)算,限流電阻為510。因?yàn)槟媳蓖ㄐ袝r(shí)雙向指示牌相同,所以每個(gè)端口應(yīng)具有12ma的吸收電流的能力,而人行道口按4個(gè)燈算,因此需24ma的吸收電流,在單片機(jī)的輸出口接驅(qū)動(dòng)電路74hc244,保

32、護(hù)單片機(jī)的輸出端口12。道口指示燈電路如圖3.5所示。圖3.5 城市道口交通指示燈電路3.3.4 時(shí)間顯示電路紅綠燈通行時(shí)間采用數(shù)碼管顯示,這是一種很好的方法。通行剩余時(shí)間采用高亮7段led發(fā)光數(shù)碼管,采用共陽(yáng)數(shù)碼管。由于每個(gè)道口時(shí)間顯示相同,4組三極管就需192ma,所以設(shè)計(jì)中采用三極管9012。因?yàn)槊慷屋敵隹谛栉?4ma電流,所以電路設(shè)計(jì)中使用驅(qū)動(dòng)集成塊74hc244。led顯示接口硬件電路由74hc244緩沖器、led顯示器組成。如圖3.9所示74hc244用來(lái)提高led顯示器的驅(qū)動(dòng)能力。在實(shí)際使用中l(wèi)ed顯示器都是多位的。對(duì)多位led顯示器,通常采用動(dòng)態(tài)掃描的方法進(jìn)行顯示,即逐個(gè)循環(huán)

33、點(diǎn)亮各位顯示器。雖然這樣在任一時(shí)刻只有一位顯示器被點(diǎn)亮,但由于視覺(jué)殘留效應(yīng),看起來(lái)與全部顯示器同時(shí)點(diǎn)亮效果完全一樣。為了顯示led顯示器的動(dòng)態(tài)掃描,不僅要給顯示器提供段(字形代碼)的輸入之外,還要對(duì)顯示器加位控制,這就是通常所說(shuō)的段控和位控。因此多位led顯示器接口電路需要有兩個(gè)輸出口,其中一個(gè)用于輸出8條段控線(有小數(shù)點(diǎn)顯示);另一個(gè)用于輸出位控線,位控線的數(shù)目等于顯示器的位數(shù)。時(shí)間顯示驅(qū)動(dòng)電路如圖3.6所示。圖3.6時(shí)間顯示驅(qū)動(dòng)電路3.3.5 特種車(chē)輛自動(dòng)通行電路一般情況下交通燈都按車(chē)流量大小分配通行時(shí)間,按固有規(guī)律變化,但有特殊車(chē)輛(如119、120)急需通行時(shí),如何控制呢?本文中設(shè)計(jì)緊

34、急通行開(kāi)關(guān),當(dāng)特種車(chē)輛到來(lái)時(shí)能自動(dòng)關(guān)閉所有綠燈,讓特種車(chē)輛通過(guò)。設(shè)計(jì)中特種車(chē)輛可采用紅外線發(fā)生器為發(fā)信器,用實(shí)時(shí)中斷來(lái)響應(yīng)特種車(chē)的通行要求。接收的紅外信號(hào)通過(guò)內(nèi)部的集成電路來(lái)進(jìn)行放大、解調(diào),由輸出端的輸出編碼脈沖信號(hào)經(jīng)過(guò)三極管反相放大送至接收器,解調(diào)模塊再進(jìn)行譯碼。紅外接收器采用的是一體化紅外接收器,具有靈敏度高和抗干擾能力強(qiáng)的性質(zhì)13。紅外遙控接收電路原理框圖如圖3.7所示。信號(hào)接收信號(hào)放大電源驅(qū)動(dòng)單片機(jī)控制紅外接收裝置信號(hào)解調(diào)圖3.7 紅外接收原理框圖3.3.6 盲人提示音電路 為方便盲人通過(guò)行人道,在道口自動(dòng)控制系統(tǒng)中設(shè)計(jì)了聲音提示電路,該聲音控制電路與人行道控制燈的綠燈是同步的,當(dāng)綠燈

35、亮?xí)r響一次,在結(jié)束通行前10s綠燈閃爍,期間盲人提示音會(huì)間隔響5次,每次1s。盲人提示音采用的是悅耳、柔和的低音。盲人提示音電路如圖3.8所示。圖3.8 盲人提示音電路3.3.7 電源電路 整個(gè)系統(tǒng)采用的是+5v電壓,因此采用不可調(diào)的3端穩(wěn)壓器件,用lm7850就可以滿足系統(tǒng)電源的要求。lm7850內(nèi)部是由基準(zhǔn)電壓回路、恒流源、過(guò)流保護(hù)、過(guò)壓保護(hù)和短路保護(hù)回路等8部分組成的三端集成穩(wěn)壓電源,且其低功耗,高效率,紋波系數(shù)小,輸出電壓穩(wěn)定。3.4 led顯示接口電路3.4.1 led顯示器簡(jiǎn)介通常所說(shuō)的led顯示器由7個(gè)發(fā)光二極管組成因此也稱之為七段led顯示器,其排列形狀如圖3.10所示。此外,

36、顯示器中還有一個(gè)圓點(diǎn)型發(fā)光二極管(在圖中以dp表示),用于顯示小數(shù)點(diǎn)。通過(guò)七個(gè)發(fā)光二極管亮暗的不同組合,可以顯示多種數(shù)字、字母以及其他符號(hào)。led顯示器中的發(fā)光二極管共有兩種連接方法:(1) 共陽(yáng)極接法把發(fā)光二極管的陽(yáng)極連在一起構(gòu)成公共陽(yáng)極。使用時(shí)公共陽(yáng)極接+5v。這樣陰極端輸入低電平的段發(fā)光二極管就導(dǎo)通點(diǎn)亮,而輸入高電平的則不點(diǎn)亮。(2) 共陰極接法把發(fā)光二極管的陰極連在一起構(gòu)成公共陰極。使用時(shí)公共陰極接地,這樣陽(yáng)極端輸入高電平的段發(fā)光二極管就導(dǎo)通點(diǎn)亮,而輸入低電平的則不點(diǎn)亮。圖3.9 led顯示器結(jié)構(gòu)圖使用led顯示器時(shí)要注意區(qū)分這兩種不同的接法。為了顯示數(shù)字或符號(hào),要為led顯示器提供代

37、碼,這些代碼是為顯示字形的,因此稱之為字形代碼。七段發(fā)光二極管,再加上一個(gè)小數(shù)點(diǎn)位,共計(jì)八段。因此提供給led顯示器的字形代碼正好一個(gè)字節(jié)。各代碼位的對(duì)應(yīng)關(guān)系如表3.4。表3.4 代碼對(duì)應(yīng)關(guān)系表代碼位d7d6d5d4d3d2d1d0顯示段dpgfedcba3.4.2 74hc244緩沖器芯片介紹74hc244是一種三態(tài)輸出的八緩沖器和線驅(qū)動(dòng)器,該芯片的引腳圖如圖3-8所示。由圖可見(jiàn),該緩沖器有8個(gè)輸入端,分為兩路1a11a4,2a12a4,同時(shí)8個(gè)輸出端,也分為兩路1y11y4,2y12y4,分別由1g和2g作為它們的選通工作信號(hào)。當(dāng)記為低電平時(shí),1y11y4的電平與1a11a4的電平相同,即

38、輸出反映輸入電平的高低;同樣,當(dāng)2g為低電平時(shí),2y12y4的電平與2a12a4的電平相同。而當(dāng)1g(或2g)為高電平時(shí),呈高阻態(tài),輸出1a11a4(或2a12a4)為高阻態(tài)。經(jīng)74hc244緩沖后,輸入信號(hào)被驅(qū)動(dòng),輸出信號(hào)的驅(qū)動(dòng)能力加大了。、圖3.10 74hc244引腳示意圖4 交通燈控制系統(tǒng)軟件設(shè)計(jì)4.1 軟件設(shè)計(jì)思路及流程圖交通道口交通燈控制系統(tǒng)的控制程序主要包括以下幾個(gè)部分:主程序、紅綠燈時(shí)間動(dòng)態(tài)調(diào)節(jié)程序、顯示程序、定時(shí)中斷程序、車(chē)輛檢測(cè)延時(shí)程序和特種車(chē)輛實(shí)時(shí)響應(yīng)程序等。4.1.1 主程序主程序主要是負(fù)責(zé)總體程序的管理功能,實(shí)現(xiàn)人與機(jī)的交互設(shè)定。因?yàn)樵O(shè)計(jì)采用動(dòng)態(tài)掃描方式顯示時(shí)間,所以

39、主程序大部分時(shí)間要調(diào)用掃描顯示程序。主程序流程圖如圖4.1所示。yn顯示程序開(kāi)始p3.7=0 ?鍵功能程序初始化圖4.1主程序流程圖4.1.2 定時(shí)中斷服務(wù)程序定時(shí)中斷服務(wù)程序是用于行車(chē)及行人通行的通行指示,按通行的規(guī)律,紅綠燈的控制轉(zhuǎn)換邏輯如表4.1所示。表4.1 道口通行方式控制碼數(shù)據(jù)表南北方向端口控制功能120110s11070s7060s6010s100sp.7左拐紅00011p.6左拐綠11100/1p.5直行紅11100p.4直行綠000/111p.3右拐紅01111p.2右拐綠10000/1p.1行人紅11100p.0行人綠000/111道口控制字66h6ah6ah/7bh99h

40、99h/ddh東西方向p.7左拐紅00000p.6左拐綠11111p.5直行紅00000p.4直行綠11111p.3右拐紅01111p.2右拐綠10000/1p.1行人綠00000p.0行人紅11111道口控制字55h99h59h59h59h/5dh通行規(guī)則如下:(1)南北方向的行車(chē)直行,各路右拐,南北向行人通行,設(shè)置南北向通行時(shí)間為1min,且各路右拐比直行滯后10s放行。(2)南北方向的行車(chē)向左拐,各路右拐,行人禁止通行。通行時(shí)間為1min。(3)東西方向的行車(chē)直行,各路右拐,東西方向的行人通行。東西方向通行時(shí)間為1min,且各路右拐比直行要滯后20s放行。(4)東西方向的行車(chē)向左拐,各路

41、右拐,行人禁止通行。通行時(shí)間為1min。上述的4種交通規(guī)則是通過(guò)控制紅綠燈端口送控制碼的方式來(lái)實(shí)現(xiàn)。其原理是根據(jù)不同規(guī)則通行時(shí)各路口的紅綠燈亮滅情況轉(zhuǎn)換為單片機(jī)端口的控制碼。指示燈功能通過(guò)t0定時(shí)中斷服務(wù)程序?qū)崿F(xiàn)。定時(shí)器t0定時(shí)溢出的中斷周期設(shè)為50ms,中斷累計(jì)20次時(shí)對(duì)120s倒計(jì)時(shí)單元進(jìn)行減1操作。本設(shè)計(jì)中將4種通行規(guī)則分成幾種不同的亮燈方式,通過(guò)查詢秒倒計(jì)時(shí)單元的數(shù)據(jù),以實(shí)現(xiàn)在不同的時(shí)間段給控制端口送不同的控制數(shù)據(jù)碼。控制碼分為120s110s、110s70s、70s60s、60s10s、10s0s這5個(gè)時(shí)間段。交通燈管理定時(shí)功能程序流程圖如圖4.4所示。yyyyynnnnnt0中斷程

42、序現(xiàn)場(chǎng)保護(hù)關(guān)中斷t0t0初值重裝東西或南北標(biāo)志位取反mov sn,#99hmov ew,#59hmov sn,#99hmov ew,#59hmov sn,#6ahmov ew,#59hmov sn,#6ahmov ew,#59hmov sn,#66hmov ew,#55htime110 ?time70 ?time60 ?time10 ?time=0 ?中斷返回圖4.2 t0定時(shí)中斷服務(wù)程序流程圖4.1.3 特種車(chē)輛自動(dòng)放行中斷服務(wù)程序當(dāng)有特種車(chē)輛經(jīng)過(guò)時(shí),車(chē)中就發(fā)射紅外線信號(hào),其信號(hào)將被道口控制板上的紅外信號(hào)接收器接收,并有一個(gè)低電平輸出觸發(fā)外中斷。特種車(chē)輛自動(dòng)放行的中斷流程圖如圖4.2所示。n

43、外中斷程序現(xiàn)場(chǎng)保護(hù)關(guān)外中斷1開(kāi)定時(shí)器1送全紅燈,數(shù)據(jù)緩存15s倒計(jì)時(shí)結(jié)束關(guān)定時(shí)器t1,開(kāi)外中斷1現(xiàn)場(chǎng)恢復(fù),中斷返回紅燈顯示y圖4.3 特種車(chē)輛自動(dòng)放行的中斷流程圖4.1.4 車(chē)輛檢測(cè)中斷服務(wù)程序車(chē)輛檢測(cè)電路主要是測(cè)量由于紅燈而導(dǎo)致的滯留車(chē)隊(duì)的長(zhǎng)度,因此在紅燈結(jié)束時(shí)讀入的車(chē)隊(duì)長(zhǎng)度才是真正的滯留車(chē)隊(duì)長(zhǎng)度。本文選取紅燈還剩2s時(shí)讀入路況信息14。車(chē)輛檢測(cè)中斷流程圖如圖4.3所示。yn中斷響應(yīng)現(xiàn)場(chǎng)保護(hù)關(guān)外中斷東西方向紅燈還剩2s時(shí)讀入該方向的路況南北方向紅燈還剩2s時(shí)讀入該方向的路況關(guān)定時(shí)器,開(kāi)外中斷開(kāi)啟定時(shí)器相應(yīng)方向通行時(shí)間增加中斷返回東西方向車(chē)數(shù)量=南北方向車(chē)數(shù)量?圖4.4 車(chē)輛檢測(cè)中斷流程圖4.

44、2 存儲(chǔ)器及i/o口接口地址本設(shè)計(jì)中,單片機(jī)的程序起始地址為0000h,定時(shí)器t0中斷程序入口地址為000bh定時(shí)器t1的中斷程序入口地址為001bh,命令/狀態(tài)寄存器地址為0080h,p1口地址為0090h,p2口地址為00a0h。4.3 led顯示電路有關(guān)編程led顯示電路中最重要的是十六進(jìn)制數(shù)字形代碼表,如表4.2所示:表4.2 十六進(jìn)制數(shù)字形代碼表字型共陽(yáng)極代碼共陰極代碼字型共陽(yáng)極代碼共陰極代碼0c0h3fh990h6fh1f9h06ha88h77h2a4h5bhb83h7ch3b0h4fhcc6h39h499h66hda1h5eh592h待添加的隱藏文字內(nèi)容16dhe86h79h68

45、2h7dhfbeh71h7f8h07h滅ffh00h880h7fh5 交通燈控制系統(tǒng)的調(diào)試5.1 調(diào)試方法 以電子線路為基礎(chǔ)的各種電子產(chǎn)品在安裝完成后一般都要進(jìn)行調(diào)試,才能正常地進(jìn)行工作。在調(diào)試過(guò)程中常常會(huì)出現(xiàn)各種電路故障,經(jīng)過(guò)檢測(cè)、排查,才能準(zhǔn)確地排除故障。調(diào)試主要是包括調(diào)整和測(cè)試兩個(gè)部分。調(diào)整主要是對(duì)電路參數(shù)的調(diào)整。一般是對(duì)電路中可調(diào)元器件,例如電容、電感等部分進(jìn)行調(diào)整,使電路功能達(dá)到預(yù)期的效果。測(cè)試主要是對(duì)電路的各項(xiàng)技術(shù)指標(biāo)和功能進(jìn)行測(cè)試和檢查,并與設(shè)計(jì)要求的性能指標(biāo)相比較,以確定電路是否能實(shí)現(xiàn)預(yù)期的功能。調(diào)試和調(diào)測(cè)試是相互依賴、互相補(bǔ)充的,統(tǒng)稱為調(diào)試。由于在實(shí)際工作中,二者是一項(xiàng)工作的

46、兩個(gè)方面。經(jīng)測(cè)試、調(diào)整、再測(cè)試、再調(diào)整,直到實(shí)現(xiàn)電路要求的設(shè)計(jì)指標(biāo)為止。能夠采用適當(dāng)?shù)姆椒ú檎?、判斷和確定故障的具體部位及其原因,是故障檢測(cè)的關(guān)鍵。在應(yīng)用中,我們檢測(cè)電子線路故障經(jīng)常采用觀察法。觀察法又分為靜態(tài)觀察法和動(dòng)態(tài)觀察法。所謂靜態(tài)觀察法就是在電子線路通電前通過(guò)目視檢查找出某些故障。主要檢查焊點(diǎn)是否虛焊、導(dǎo)線接頭是否接好、接插件是否松脫、管腳是否插錯(cuò)方向或折彎等。當(dāng)靜態(tài)觀察沒(méi)有發(fā)現(xiàn)異常時(shí),可進(jìn)一步采用動(dòng)態(tài)觀察法。動(dòng)態(tài)觀察法又稱通電觀察法,即給電路通電后,通過(guò)觀察電路內(nèi)有無(wú)打火,冒煙現(xiàn)象,或是聞到電路內(nèi)有無(wú)燒焦、燒糊的異味;手觸摸一些器件有無(wú)發(fā)燙。發(fā)現(xiàn)異常立刻斷開(kāi)電源。由通電觀察,可以確定

47、故障原因,但大部分情況下并不能確認(rèn)故障的確切位置。例如集成電路的發(fā)熱,可能是周邊電路故障導(dǎo)致的,也可能是供電電壓有問(wèn)題,或負(fù)載過(guò)重等問(wèn)題。因此配合其他檢測(cè)方法,分析判斷,可更準(zhǔn)確找出故障所在。5.2 調(diào)試及性能分析5.2.1 紅綠燈控制程序不通過(guò)定時(shí)器t0,直接可按照表7.1算好的數(shù)據(jù)嗎送出控制燈,再觀察其邏輯狀態(tài)是否符合要求??梢苑磸?fù)多次進(jìn)行調(diào)試,直到邏輯關(guān)系正確,但要注意,東西方向、南北方向的指示燈要同時(shí)調(diào)試。5.2.2 特殊車(chē)輛通行時(shí)紅外線檢測(cè)電路的調(diào)試可將示波器輸入端接在交通控制燈的紅外接收模塊的輸出引腳上,并在模擬小車(chē)中放一塊紅外線發(fā)射模塊,當(dāng)小車(chē)通過(guò)路口時(shí),可檢測(cè)紅外線是否被接收。

48、如果該引腳輸出為低電平,則說(shuō)明可以接收到信號(hào),電路正常。5.2.3 車(chē)輛檢測(cè)電路的調(diào)試可在將示波器輸入端端接在交通控制燈的車(chē)輛檢測(cè)模塊的輸出引腳上,給ccd一個(gè)一定距離里的車(chē)隊(duì)信號(hào),可檢測(cè)車(chē)隊(duì)長(zhǎng)度是否能被檢測(cè)。如果該引腳輸出為低電平,則說(shuō)明可以檢測(cè)車(chē)隊(duì)長(zhǎng)度,調(diào)整綠燈時(shí)間,電路正常。6 測(cè)量結(jié)果本系統(tǒng)是以at89c51單片機(jī)為核心,設(shè)計(jì)的交通燈控制系統(tǒng)可用于十字路口的行車(chē)及行人的交通管理,采用3位7段led數(shù)碼管,直觀地顯示紅綠燈的開(kāi)放和關(guān)閉時(shí)間;設(shè)計(jì)中的兩種倒計(jì)時(shí):120s倒計(jì)時(shí)適用于車(chē)流量較大的城市,60s倒計(jì)時(shí)適用于車(chē)流量較小的中小型城市。本設(shè)計(jì)功能完整,不僅有普通的交通燈指示功能,還新增

49、了特種車(chē)輛自動(dòng)放行、車(chē)流量檢測(cè)和盲人語(yǔ)音提示的功能。該系統(tǒng)的控制功能與效果同真實(shí)道口管理紅綠燈是完全一致的。7 結(jié)束語(yǔ)隨著經(jīng)濟(jì)的飛速發(fā)展,交通燈控制在交通運(yùn)輸領(lǐng)域發(fā)揮著越來(lái)越重要的作用。本文主要論述了基于at89c51單片機(jī)的智能交通燈控制系統(tǒng),雖然智能交通燈控制系統(tǒng)已經(jīng)取得不少成就,且道路相對(duì)好的地方,傳統(tǒng)的固定時(shí)間的交通燈控制還是有一定的作用。但隨著城市化日益完善,車(chē)的數(shù)量也在快速的增加。此時(shí),可以根據(jù)現(xiàn)實(shí)的交通狀況實(shí)時(shí)改變通行時(shí)間的智能交通將可起到疏導(dǎo)交通,提高運(yùn)輸效率,改善城市交通環(huán)境,推動(dòng)城市化日益完善。本文完成過(guò)程中,要做的工作有:(1)確定交通控制系統(tǒng)的通行方案,規(guī)定各個(gè)方向行車(chē)

50、的通行時(shí)間及分配。(2)以atmel公司的at89c51單片機(jī)為系統(tǒng)硬件的設(shè)計(jì)核心,輸入量有:車(chē)流量、特種車(chē)輛自動(dòng)通行信號(hào)、定時(shí)中斷;輸出量控制交通燈信號(hào)燈亮滅狀態(tài)、時(shí)間、led倒計(jì)時(shí)顯示及盲人語(yǔ)音提示。(3)車(chē)流量檢測(cè)采用模糊控制的方法,通過(guò)對(duì)數(shù)學(xué)模型進(jìn)行清晰化、具體化,經(jīng)單機(jī)控制器的相關(guān)算法與處理確定紅綠燈的亮滅時(shí)間。(4)運(yùn)用匯編語(yǔ)言對(duì)系統(tǒng)進(jìn)行軟件編程,為了便于編寫(xiě)、調(diào)試、修改和增減,系統(tǒng)軟件的編寫(xiě)采用模塊化的設(shè)計(jì)方法。參考文獻(xiàn)1鄭思銘等.交通燈的一種新型智能控制系統(tǒng).廣東自動(dòng)話與信息工程,2006(2):16-182陳森發(fā)等.城市主干道交通信號(hào)燈模糊線控制的探討.運(yùn)籌與管理,1998,

51、7(1):35-413查振業(yè),葉信陽(yáng).智能交通燈控制系統(tǒng).華中理工大學(xué)學(xué)報(bào),1997,25(2):63-654姚林芳.交通燈智能控制系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn).計(jì)算機(jī)工程應(yīng)用技術(shù),2008,(5):1234-12375鄭建光等.基于at89c51單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì).自動(dòng)化與儀器儀表,2008,(6):30-336吳黎明,王桂棠.單片機(jī)原理及應(yīng)用技術(shù).北京:科學(xué)出版社,20057董加禮,曹旭東,史明仁.數(shù)學(xué)建模.北京:北京工業(yè)大學(xué)出版社,19908彭志剛.利用單片機(jī)改進(jìn)交通燈控制系統(tǒng).湖南工業(yè)職業(yè)技術(shù)學(xué)院學(xué)報(bào),2003.2(2):25-279朱善君等.單片機(jī)接口技術(shù)與應(yīng)用.北京:清華大學(xué)出版社,2

52、00510李建忠.單片機(jī)原理及應(yīng)用.西安電子科技大學(xué)出版社,200811馮冬青,謝宋和.模糊控制.北京:化學(xué)工業(yè)出版社,200012樓然苗,李光飛. 單片機(jī)課程設(shè)計(jì)指導(dǎo). 北京:北京航空航天大學(xué)出版社,200913溫欣玲,張玉葉.基于單片機(jī)交通智能控制系統(tǒng)研究.微計(jì)算機(jī)信息,2007,23(10-2):90-9214王慶有.光電傳感器應(yīng)用技術(shù).北京:機(jī)械工業(yè)出版社,2007the design of traffic light control system based on at89c51 mcusu lidepartment of physics and electronicsno. 07418519faculty adviser: zhou jiachaoabstract this st

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論