單片機控制的軟起動器的設(shè)計_第1頁
單片機控制的軟起動器的設(shè)計_第2頁
單片機控制的軟起動器的設(shè)計_第3頁
單片機控制的軟起動器的設(shè)計_第4頁
單片機控制的軟起動器的設(shè)計_第5頁
已閱讀5頁,還剩46頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、摘要作為異步電動機的起動裝置,智能軟起動器具有顯著的節(jié)電效果及良好的工作特性等優(yōu)點,因此被廣泛應(yīng)用。本文介紹了一種三相交流電動機軟起動器的硬件組成和軟件程序設(shè)計,該系統(tǒng)以16位單片機為核心。在電動機起動過程,通過控制晶閘管的關(guān)斷和導(dǎo)通角進(jìn)行調(diào)壓調(diào)速,實現(xiàn)電動機的平滑起動。本文設(shè)計的鼠籠式異步電動機軟起動器以80C196KC單片機為核心,雙向可控硅作為調(diào)壓元件,外加顯示電路、鍵盤電路、接口電路及軟件結(jié)構(gòu)等組成。根據(jù)用戶的不同需求,可以設(shè)置不同的起動時間、起動電流和起動轉(zhuǎn)矩,同時實現(xiàn)斷電數(shù)據(jù)存儲。應(yīng)用此設(shè)備起動電動機時,可以使起動電流從零線性上升至設(shè)定值,實現(xiàn)平穩(wěn)起動,對電動機無沖擊,提高供電可靠

2、性,同時還提供軟停車功能和多種保護(hù)。研究表明:該系統(tǒng)結(jié)構(gòu)簡單、參數(shù)調(diào)整靈活、控制效果良好,具有一定的使用價值。關(guān)鍵詞:80C196KC 軟起動器 晶閘管 交流電動機AbstractWith the remarkable save enerty function and sound performance,the intelegent soft-starer,as the acmating device of the induction motor has been used widelyThe hardware composing and program designing of one so

3、ft-starter used in AC motor are mainly discussedIt is based on atmel 80C196KC mierocontroller and starts up the motor smoothly by AC pressure regulating and speed control in the start up procedureAccording tothe requests,diferent start-up time,start up current an distart torque can be setThis system

4、 Can also save the setting data when the power is broken80C196KC single chip computer is used as the main contml of soft starter and double action thyristor as vohage adjusting element.It also introduces the correlative computer hardware and softwareWhen it starts motor,eurrent goes up from zero to

5、initialization linearIt realizes to calm startup,no impact to motor,improve securityIt also has soft stop and many protectsThe system has merit of simple structureeasily adjusting parametersas well as good controlling effectit has been proved in the studyKey words:80C196KC soft-starter thyristor AC

6、motor目 錄1 緒 論11.1 引言11.2 軟起動器的實現(xiàn)方法21.3 軟起動器的發(fā)展方向52 軟起動原理62.1 直接起動的危害62.2 傳統(tǒng)降壓起動方式的性能比較62.3 軟起動器介紹72.4 軟起動器特點72.5 軟起動原理82.6 三相晶閘管調(diào)壓原理93 主回路設(shè)計113.1 系統(tǒng)工作原理113.2 旁路系統(tǒng)143.3 晶閘管的參數(shù)計算153.4 交流過電壓保護(hù)153.5 過電流保護(hù)163.6 晶閘管關(guān)斷過電壓阻容保護(hù)163.7 電流檢測163.8 測速控制174 控制回路設(shè)計194.1 功能模塊分述194.1.1 80C196KC194.1.2 ULN2803224.2 系統(tǒng)結(jié)

7、構(gòu)224.2.1 CPU電路224.2.2 同步信號電路244.2.3 晶閘管移相觸發(fā)脈沖電路244.2.4 鍵盤電路294.2.5 顯示電路295 軟件設(shè)計305.1 主程序設(shè)計305.2 速度PID程序315.3 電流PID33結(jié) 論35致 謝36參考文獻(xiàn)37附錄1 程序清單38附錄2 系統(tǒng)總電路圖441 緒 論1.1 引言隨著國民經(jīng)濟的飛速發(fā)展,科學(xué)技術(shù)日新月異,智能控制系統(tǒng)得到了廣泛的應(yīng)用。如:智能大廈、無人值守泵站、無人值守供熱站、各種遙控調(diào)度系統(tǒng)、生產(chǎn)作業(yè)自動化等等。這正是國家實現(xiàn)科學(xué)技術(shù)現(xiàn)代化的重要標(biāo)志,也是每一個技術(shù)人員肩負(fù)的重要責(zé)任。智能控制技術(shù)的應(yīng)用,給我們提出了很多要求。

8、如電網(wǎng)的波動性,執(zhí)行機構(gòu)的智能配套等,都要求越來越嚴(yán)格。作為重要驅(qū)動執(zhí)行機構(gòu)的電動機來說,它的控制方式受到廣大技術(shù)人員的高度重視。既要為智能控制打下良好基礎(chǔ),又要降低電動機起動時對電網(wǎng)的沖擊。所以,不得不在電動機的起動設(shè)備和方式上改進(jìn)。傳統(tǒng)的電機起動方法,除小功率的電機以采用直接起動外,大、中型電機一般采用定子串電抗起動、Y-起動、自耦變壓器起動和延邊三角形起動4種方法。這幾種方法本質(zhì)上是降壓起動,目的是限制起動電流,避免過大的起動電流沖擊電網(wǎng),以保證電動機起動的正常進(jìn)行。但定子串電抗起動時,起動轉(zhuǎn)矩降低過大或能量消耗過多,只能用于空載和輕載起動;Y-起動方法簡單,價格便宜,但要求定子繞組引出

9、6根出線端,也只能用于空載和輕載下進(jìn)行;自耦變壓器起動具有較高的起動性能,但體積大,價格高;延邊三角形起動設(shè)備簡單,但要求電機專門設(shè)計。所有這些起動方法還有一個共同的缺點,就是電流幅值、起動時間不可調(diào)節(jié)。由于這些諸多因素的影響,造成許多電動機的損壞,甚至燒毀,每年給國民經(jīng)濟造成損失,其中重要的原因電動機的起動電流過大,一般是額定值的 5至7倍。特別是在一些特殊的使用場合比如鋼廠的給壓力罐加壓的電機,由于起動非常頻繁,這個問題就更加突出。同時電動機因短路,斷相,過壓過流,欠壓堵轉(zhuǎn)等因素引起電機故障也時有發(fā)生。電動機電子軟起動器的誕生給技術(shù)人員解決了這個問題。它既能改變電動機的起動特性保護(hù)拖動系統(tǒng)

10、,更能保證電動機可靠起動,又能降低起動沖擊,而且配有計算機通訊接口實現(xiàn)智能控制。一般情況下,一臺智能電子軟起動器,既節(jié)省了工程造價,而且故障率也較低,維修費也低。所以,電子軟起動器應(yīng)是我們首選的目標(biāo)。1.2 軟起動器的實現(xiàn)方法軟起動器是一種功能極強的電動機新型起動設(shè)備,其主回路采用過載能力很強的晶閘管作為相控元件,采用反并聯(lián)可控硅連接方式,通過調(diào)節(jié)可控硅的導(dǎo)通角方式變壓起動。目前電機軟起動器技術(shù)很多,可以說五花八門,雖然多數(shù)沒形成產(chǎn)品,但有些技術(shù)還是很成熟的,其主要有以下幾種技術(shù):(1)80C196KC為核心部件的軟起動器裝置以80C196KC單片機為核心,主要由軟開關(guān),采樣電路、控制電路三部

11、分組成。利用晶閘管的調(diào)壓原理,調(diào)節(jié)晶閘管觸發(fā)角,實現(xiàn)電動機的軟起動,即晶閘管為無觸點開關(guān)使用。同時裝置還具有短路、斷相、過流、過壓、欠壓和堵轉(zhuǎn)等保護(hù)功能及數(shù)碼顯示功能。保護(hù)的整定值可通過鍵盤來任意設(shè)置和修改。晶閘管的觸發(fā)脈沖取自80C196KC單片機的高速輸出口HSO,其輸出脈沖經(jīng)光電隔離、驅(qū)動(本裝置使用MC1413)處理后經(jīng)MB產(chǎn)生晶閘管所需的觸發(fā)脈沖。晶閘管的觸發(fā)零點由的同步電路產(chǎn)生的脈沖進(jìn)行控制。(2)以8051為CPU的軟起動器該軟起動器成套性能好,且易實施遠(yuǎn)動操作和自動化操作。同時,可根據(jù)用戶的要求,將軟起動主機組裝到GGB型及其他型號低壓配電柜內(nèi),保持柜體的一致性。該裝置提供了軟

12、起動,軟停車,限流控制,電流保護(hù)等運行方式和保護(hù)功能。(3)雙CPU軟起動器該控制系統(tǒng)的主回路采用三相交流調(diào)壓電路,軟起動器工作時A相閉合,起動過程完成后,由程序控制B相閉合,A相自動斷開,軟起動器退出運行?;谖C的控制器用于產(chǎn)生交流調(diào)壓電路所需要的觸發(fā)脈沖。控制器用雙8751單片機系統(tǒng)來實現(xiàn),其中CPU1系統(tǒng)完成起動控制功能,CPU2系統(tǒng)實現(xiàn)參數(shù)設(shè)置,遠(yuǎn)控功能,兩個系統(tǒng)之間采用RS485通訊交換數(shù)據(jù)。CPU1系統(tǒng)由8大部分組成:主要有同步信號檢測電路,電源電路,脈沖功放電路,電流檢測電路、繼電器控制電路和信號顯示等。因采用雙CPU方式,CPU1置于開關(guān)柜內(nèi),帶操作盤的CPU2則不用固定位置

13、,所以可以方便地實現(xiàn)遠(yuǎn)控。該控制器的 最大優(yōu)點是節(jié)能。(4)DWR-1電動機的CMOS集成電路軟起動器DWR-1型電動機的軟起動控制器采用CMOS全數(shù)字集成電路設(shè)計,以模塊結(jié)構(gòu)供用戶選擇。具有整體電路結(jié)構(gòu)簡單,高科技含量、維護(hù)簡單、價格低,適應(yīng)環(huán)境溫度范圍比較大。0380V漸漸平滑起動,對電網(wǎng)及負(fù)載無沖擊可延長機械設(shè)備使用壽命。該產(chǎn)品整體電路部分由兩大部分構(gòu)成:主回路和軟起動中心控制器組成。主回路由晶閘管、壓敏電阻和綜合保護(hù)器組成。主要對于缺相、過載、過流都能可靠停機保護(hù)。軟起動控制器主要由軟起動控制板驅(qū)動模塊及同步變壓器組成,其重要功能是接收脈沖信號,并在脈沖信號的控制下按預(yù)定時間改變晶閘管

14、的導(dǎo)通角,以達(dá)到軟起動控制的目的。(5)8096構(gòu)成軟起動器該軟起動器主要由CPU和8096的主控板、驅(qū)動板、電機主控制回路、控制面板組成,具有控制功能、電機保護(hù)功能及顯示、報警、參數(shù)設(shè)置功能。本系統(tǒng)主要功能:控制功能:自動/手動控制電機啟、停。保護(hù)功能:涉及整個系統(tǒng)。缺相、相序錯、電機過流、過載等任一異常時,保護(hù)動作自動停機。監(jiān)視、報警功能:實時監(jiān)視系統(tǒng)的運行工況,在控制盤上有主回路電流、電壓指示及顯示系統(tǒng)運行狀態(tài),當(dāng)系統(tǒng)出現(xiàn)故障時,控制盤上有詳細(xì)的故障報警顯示,同時向中控室發(fā)警報信號。(6)智能性軟起動器整個系統(tǒng)包括由主電路CPU、觸發(fā)驅(qū)動單元、參數(shù)設(shè)置和狀態(tài)顯示單元、保護(hù)電路以及輸出單元

15、。CPU根據(jù)參數(shù)和設(shè)置方式以及實時檢測值控制a角的大小,以實現(xiàn)調(diào)壓、限流軟起動。另外,智能性軟起動器可以設(shè)置3種起動方式,即電壓方式、助起動方式和電流方式;可以設(shè)置為自由停機、軟停機和能耗停機3種停機方式。智能型軟起動器能實現(xiàn)短路、缺相、內(nèi)部故障及過載自鎖功能,還具有過載信號輸出、起動結(jié)束信號輸出和能耗制動狀態(tài)輸出功能。(7)8098構(gòu)成的變頻調(diào)速軟起動器該系統(tǒng)采用了單片機技術(shù)可以做到平穩(wěn)地逐步升高電壓,同時考慮到由于電動機起動電壓的下降而使電動機轉(zhuǎn)動力矩也將減?。妱訖C的轉(zhuǎn)矩與外接電壓的平方成反比)。根據(jù)只要U/F不變則轉(zhuǎn)動力矩不變的原理,該系統(tǒng)除了設(shè)定工頻(50HZ)軟起動外,還采用了變頻

16、技術(shù)進(jìn)行起動,即在降低電壓的同時降低頻率,以保證電機起動時有一個較大的力矩。這種軟起動器主要是采用了8098 16位單片機作為核心部件,外部擴展1片2764(8KB ROM)、1片2864(8KB EEPROM)。2764用來存放關(guān)鍵數(shù)據(jù)、工作信息及口令等。并行I/O接口8255A的PA口及PB口的PB2PB0用于鍵盤掃描,以便實現(xiàn)人機對話、參數(shù)設(shè)定及口令輸入。PB口除了讀出鍵盤掃描值外,主要用于顯示(6位LED數(shù)碼管)各種參數(shù)及信息。PC口擴展LED信號燈,用于工作狀態(tài)及故障指示。高速輸入接口HSO05用于控制交頻及起動,高速接入接口ACH4用來對電機的電流進(jìn)行檢測。擴展的GAL16V8主要

17、進(jìn)行譯碼以便系統(tǒng)進(jìn)行分時操作。(8)集成電路構(gòu)成的軟起動器該控制電路主要由三片KJ006晶閘管移相電路、函數(shù)發(fā)生器、高頻信號發(fā)生器、同步信號及功放電路等組成。函數(shù)發(fā)生器是固態(tài)起動器的核心部件,它是實現(xiàn)電動機的起、停及軟起動的關(guān)鍵。軟起動達(dá)到降低起動電流的目的,并實現(xiàn)節(jié)能。高頻信號發(fā)生器產(chǎn)生脈沖,使功放管獲得高頻雙脈沖,以減少功放管的功耗。(9)一種基于FPGA技術(shù)的軟起動器90年代,F(xiàn)PGA技術(shù)的出現(xiàn)使數(shù)字系統(tǒng)的設(shè)計方法發(fā)生了突破性變革,且促進(jìn)了電子數(shù)字系統(tǒng)集成設(shè)計的發(fā)展。FPGA的一系列新穎功能,使電子設(shè)計者能夠在實驗室里 進(jìn)行現(xiàn)場編程、現(xiàn)場配置、現(xiàn)場修改和現(xiàn)場驗證,從而現(xiàn)場實現(xiàn)了數(shù)字系統(tǒng)的

18、集成化設(shè)計和應(yīng)用。應(yīng)用FPGA技術(shù)設(shè)計數(shù)字系統(tǒng),其流程可以分為三大部分:設(shè)計輸入,設(shè)計實現(xiàn),現(xiàn)場驗證仿真。本控制器采用了XC4010E-3PQ160C芯片,該芯片具有144個IOBS端口,一萬個可用邏輯門和豐富的內(nèi)部連線資源。同該系列中的其他產(chǎn)品相比除了具有一個存儲配置程序的分布式存儲器,由它來控制和驅(qū)動前述的三類可配置單元,從而大大增強了芯片的邏輯功能。1.3 軟起動器的發(fā)展方向短期展望:軟起動將仍然以各種形式的降壓(限流)軟起動為它的主要形式。從理論上說,性能價格比高的產(chǎn)品將占有更大的市場份額。但是,在各種應(yīng)用場合,人們對于各種性能的側(cè)重面不同,使各類起動產(chǎn)品(包括傳統(tǒng)的星三角起動)在市場

19、上都可能會贏得自己的一席之地。長期展望: 變頻軟起動將成為軟起動的主流。各種形式的降壓軟起動將與星三角起動等技術(shù)一起歸并為傳統(tǒng)的起動技術(shù)。隨著變頻器價格的逐漸下降,可靠性的進(jìn)一步提高,也隨著技術(shù)人員水平的提高,僅僅為軟起動而選用變頻器將不再會被人們認(rèn)為是一種奢侈。變頻軟起動勝出的根本原因是:它可以在限流(起動電流不超過電機額定電流值)的同時獲得大的起動轉(zhuǎn)矩,可以實現(xiàn)包括軟停止在內(nèi)的各種起動功能。于僅僅為軟起動而制作的變頻器而言,應(yīng)在起動完成后使變頻器脫電,使電動機直掛電網(wǎng)。這樣做的目的是節(jié)能,消除無謂的損耗。這種操作應(yīng)該在絕對安全的不引起電流沖擊的條件下完成,或者叫做軟切換。軟切換的可能步驟是

20、“先脫(電)后掛(網(wǎng))”或“先掛后脫”。軟切換應(yīng)該在基本上不增加硬件(例如軟切換電抗器)的條件下主要靠軟件完成。未來成為主流產(chǎn)品的軟起動裝置將是帶有軟切換功能的廉價的變頻器。2 軟起動原理通常情況下,電機的起動采用直接起動和降壓起動兩種方式。2.1 直接起動的危害直接起動是最簡單的起動方式,起動時通過開關(guān)或接觸器將電機直接接到電網(wǎng)上。具有起動設(shè)備簡單,起動速度快的優(yōu)點,但其危害很大:1、電網(wǎng)沖擊大。過大的起動電流 (空載起動電流可達(dá)到額定電流的4-7倍 ,帶載可達(dá)到8-10倍或更大),會造成電網(wǎng)壓降,影響其它用電設(shè)備的正常進(jìn)行。還可能使欠壓保護(hù)動作,造成用電設(shè)備的有害跳閘。同時過大的起動電流會

21、使電機繞組發(fā)熱,從而加速絕緣老化 ,影響電機壽命。2、機械沖擊嚴(yán)重,過大的沖擊力矩容易造成電機轉(zhuǎn)子籠條、端環(huán)斷裂和定子端部繞組絕緣磨損,導(dǎo)致絕緣擊穿燒毀電機,轉(zhuǎn)軸扭曲,聯(lián)軸節(jié)、傳動齒輪損傷和皮帶撕裂等。起動過程中的壓力突變往往造成泵系統(tǒng)管道、閥門的損傷,縮短使用壽命,影響傳動精度,甚至影響正常的過程控制。所有這些都給設(shè)備的安全可靠運行帶來威脅,同時也造成過大的起動能量損耗,尤其當(dāng)頻繁起停時更加嚴(yán)重。2.2 傳統(tǒng)降壓起動方式的性能比較傳統(tǒng)的降壓起動主要有以下幾種方法 :1、Y起動;這種方法適用于正常運行時定子繞組采用接法的電機。定子有六個接頭引出端,接到轉(zhuǎn)換開關(guān)上 ,起動時采用 Y接法 ,起動完

22、畢后再切換成接法。起動電壓為220V,運行電壓為380V。這種起動設(shè)備的優(yōu)點是起動設(shè)備簡單,起動過程中消耗能量少。缺點是有二次電流沖擊 ,設(shè)備故障率高,需要經(jīng)常維護(hù),所以不宜使用在頻繁起停的設(shè)備上。2、自藕變壓器減壓起動;三相自藕變壓器高壓邊接電網(wǎng),低壓邊接電機。一般有幾個中心抽頭 ,可選擇不同的電壓比,以適應(yīng)不同起動轉(zhuǎn)矩的負(fù)載,在電機起動完畢后將其切除。其優(yōu)點是起動電壓可以選擇,如0.65、0.8或0.9Ue(Ue為額定電壓),以適應(yīng)不同負(fù)載的要求。缺點是體積大、重量重,且要消耗較多的有色金屬,故障率高,維修費用高。3、磁控軟起動器 :磁控軟起動器是利用控磁限幅調(diào)壓的原理,在電機起動過程中電

23、壓可由一個較低值平滑地上升到全壓,使電機軸上的轉(zhuǎn)矩勻速增加,起動特性變軟,并可實現(xiàn)軟停車。但其起動電壓在200V左右,用戶不可調(diào)整,會有較大的電流沖擊,且體積較大。2.3 軟起動器介紹軟起動器是一種集電動機軟起動、軟停車、輕載節(jié)能和多種保護(hù)功能于一體的新型電動機控制裝置,國外稱為Soft Starter。它的主要構(gòu)成是串接于電源與被控電機之間的三相反并聯(lián)晶閘管及其電子控制電路。軟起動器實際是一個晶閘管調(diào)壓調(diào)速的線路,改變晶閘管的觸發(fā)角,就可以調(diào)節(jié)晶閘管調(diào)壓電路的輸出電壓,其特點是電機轉(zhuǎn)矩與加在定子端的電壓平方成正比,同時電機電流與定子電壓成正比。因此,可以通過控制加在電機定子上的電壓對電機加速

24、轉(zhuǎn)矩和起動電流進(jìn)行限制,以降低電機起動時所產(chǎn)生的過高起動電流和起動力矩。電壓的變化可通過控制晶閘管的導(dǎo)通角來實現(xiàn)。軟起動器主回路每相由兩只晶閘管反并聯(lián),采用全數(shù)字無矢量控制技術(shù)。工作時,CPU收到控制信號后,根據(jù)用戶的設(shè)定自動控制晶閘管觸發(fā)脈沖移相,使得電機按設(shè)定的曲線平滑起動。起動結(jié)束后,CPU發(fā)出信號,使旁路真空接觸器進(jìn)入正常工作狀態(tài),晶閘管暫停工作。需要停車時,給出停車信號,CPU控制真空接觸器斷開,同時控制晶閘管觸發(fā)脈沖移相(與起動時方向相反),由晶閘管完成軟停車過程。慣性停車時,CPU直接控制真空接觸器斷開,電機斷電,實現(xiàn)慣性停車。2.4 軟起動器特點本軟起動器以80C196KC單片

25、機為核心,運用雙向晶閘管調(diào)壓的方法,實現(xiàn)電動機的平滑起動。它具有如下主要特點:(1)單片機全數(shù)字自動控制;(2)起始轉(zhuǎn)矩可調(diào),可為額定轉(zhuǎn)矩的3倍;(3)爬坡時間內(nèi)可調(diào);(4)起動電流可在額定電流內(nèi)調(diào)整;(5)可保存起動參數(shù),關(guān)機后參數(shù)不丟失。2.5 軟起動原理軟起動裝置是利用性能先進(jìn)的單片機來控制大功率晶閘管組件的導(dǎo)通角,以產(chǎn)生平滑的轉(zhuǎn)矩。逐漸增加施于交流電動機上的交流電壓,使電機能按預(yù)先設(shè)定的方式和參數(shù)漸進(jìn)的加速,即達(dá)到軟起動的目的。目前軟起動主要有以下五種起動方式,如圖21所示:圖2-1電動機的五種軟起動方式限流起動:顧名思義是限制電動機的起動電流,它主要是用在輕載起動的負(fù)載降低起動壓降,

26、在起動時難以知道起動壓降,不能充分利用壓降空間,損失起動力矩,對電動機不利。斜坡電壓起動:顧名思義是電壓由小到大斜坡線性上升,它是將傳統(tǒng)的降壓起動從有級變成了無級,主要用在重載起動,它的缺點是初始轉(zhuǎn)矩小,轉(zhuǎn)矩特性拋物線型上升對拖動系統(tǒng)不利,且起動時間長有損于電機。轉(zhuǎn)矩控制起動:用在重載起動,它是將電動機的起動轉(zhuǎn)矩由小到大線性上升,它的優(yōu)點是起動平滑,柔性好,對拖動系統(tǒng)有更好的保護(hù),它的目的是保護(hù)拖動系統(tǒng),延長拖動系統(tǒng)的使用壽命。同時降低電機起動時對電網(wǎng)的沖擊,是最優(yōu)的重載起動方式,它的缺點是起動時間較長。轉(zhuǎn)矩加突跳控制起動與轉(zhuǎn)矩控制起動:相仿也是用在重載起動,不同的是在起動的瞬間用突跳轉(zhuǎn)矩克服

27、電機靜轉(zhuǎn)矩,然后轉(zhuǎn)矩平滑上升,縮短起動時間。但是,突跳會給電網(wǎng)發(fā)送尖脈沖,干擾其它負(fù)荷,應(yīng)用時要特別注意。電壓控制起動:是用在輕載起動的場合,在保證起動壓降下發(fā)揮電動機的最大起動轉(zhuǎn)矩,盡可能的縮短了起動時間,是最優(yōu)的輕載軟起動方式。2.6 三相晶閘管調(diào)壓原理利用三相晶閘管可以實現(xiàn)三相電壓的調(diào)壓,其原理圖見圖2-2。其調(diào)壓原理為:控制晶閘管的觸發(fā)角,晶閘管門極的觸發(fā)相序是VT1、VT3、VT5,觸發(fā)相序依次滯后120度,VT4,VT6,VT2的觸發(fā)相序又分別滯后于VT1、VT3、VT5180度,這樣,VT1VT6依次滯后60度。當(dāng)觸發(fā)角改變時,三相相電壓也隨之變化。圖2-2電動機軟起動原理電路圖

28、3 主回路設(shè)計3.1 系統(tǒng)工作原理電動機軟起動器由主電路、同步電路、檢測電路等組成,此外還有顯示、鍵盤、通訊等模塊。本系統(tǒng)采用三相分支雙相控制電路,可控硅觸發(fā)脈沖的產(chǎn)生與移相由單片機控制輸出,經(jīng)過功放電路送到可控硅的門級,整個系統(tǒng)的結(jié)構(gòu)圖如圖31所示,速度給定信號與速度的反饋信號的偏差作為速度控制器的輸入信號,而速度控制器的輸出信號與電流的反饋信號的偏差作為電流控制器的輸入信號,電流控制器的輸入信號經(jīng)過光電隔離去驅(qū)動晶閘管達(dá)到調(diào)速的目的。本次設(shè)計是利用三相晶閘管調(diào)壓原理來實現(xiàn)平穩(wěn)起動電動機的,其主回路原理圖見圖3-2所示。其工作原理為:在電動機起動開始時,QF閉合,控制晶閘管的觸發(fā)角為最大,即

29、180度,此時,電動機的起動電流為0,逐漸減小晶閘管的觸發(fā)角,使起動電流逐漸平穩(wěn)的上升,當(dāng)達(dá)到電動機電流的額定值后,KM閉合,晶閘管電路被旁路掉,軟起動裝置退出運行。程序存儲器LED顯示觸發(fā)脈沖驅(qū)動模塊主回路晶閘管門極鍵盤EXTINTBUSHIS I/O 80C196KCA/D HHSO.0-HSO.5I/O電機同步電路電流檢測電壓檢測 電壓給定圖3-1 系統(tǒng)結(jié)構(gòu)圖圖3-2裝置整機電路原理框圖軟停車時,KM斷開,此時電動機的電流最大,晶閘管的觸發(fā)角為最小,逐漸增大晶閘管的觸發(fā)角,使電動機的電流平穩(wěn)的減小,直至晶閘管的觸發(fā)角為最大,電動機的電流降為0,達(dá)到軟停車的目的。軟停車的電流曲線如圖4:圖

30、3-3 軟停車電流變化曲線3.2 旁路系統(tǒng)旁路系統(tǒng):電機運行時可以避免軟起動器產(chǎn)生諧波。晶閘管僅在起動停車時工作,避免長期運行使晶閘管發(fā)熱,延長使用壽命。當(dāng)軟起動器發(fā)生故障,可由旁路作為應(yīng)急備用。其結(jié)構(gòu)如下圖6所示無旁路系統(tǒng):其結(jié)構(gòu)如下圖5所示 圖3-4 無旁路系統(tǒng) 圖3-5 旁路系統(tǒng)3.3 晶閘管的參數(shù)計算晶閘管的選擇主要依據(jù)以下參數(shù):通態(tài)平均電流IT(AV)、斷態(tài)重復(fù)峰值電壓U及反向重復(fù)峰值電壓U。通態(tài)平均電流I的計算方法為:當(dāng)知道電流的有效值I時,I=(1.52)對于本系統(tǒng)中,電動機的參數(shù)已知如下:鼠籠式異步電動機(Y接):額定功率:P=110KW 額定電壓:U=380V 額定電流:I=

31、220A 額定轉(zhuǎn)速:n=1460r/min 依據(jù)電動機的參數(shù)可以求得: I=(1.52)=(210280)V斷態(tài)重復(fù)峰值電壓UUDRM及反向重復(fù)峰值電壓UURRM的計算方法為:當(dāng)晶閘管實際承受的最大峰值電壓為Um時 :U=U= U=(23)Um 對三相電路 : U= U= U=(23)Um=(10761604)取I=250A;U=1500V??蛇xKP25-15型的晶閘管。3.4 交流過電壓保護(hù)交流側(cè)可能產(chǎn)生過電壓的現(xiàn)象,例如,降壓變壓器初級合閘時,初級施加的高壓會通過初、次級繞組間的分布電容耦合到次級,使之出現(xiàn)感應(yīng)過電壓。除了這種操作過電壓外,還有由于雷擊等外部因素侵入電網(wǎng)的偶然性的浪涌過電壓

32、,過電壓倍數(shù)會更高。交流過電壓可以采用壓敏電阻保護(hù),壓敏電阻是一種常見的非線性保護(hù)元件。因伏安特性對稱于原點,故具有雙向限壓作用。壓敏電阻是由氧化鋅等燒結(jié)而成的非線性電阻元件。具有明顯的擊穿電壓,在施加電壓低于擊穿電壓時,漏電流僅為微安級,損耗??;在施加電壓超過擊穿電壓時,壓敏電阻擊穿,可以通過很大的浪涌電流,幾乎呈現(xiàn)恒壓特性。壓敏電阻的選擇主要根據(jù)額定電壓和通流容量。本系統(tǒng)中依據(jù)電動機和晶凈閘管的參數(shù)查表可得:MYG3/MYG4-680V型的壓敏電阻符合要求,其具體參數(shù)如下:標(biāo)稱電壓:680V 最大連續(xù)工作交流電壓:420V電源電壓:380V 最大限制電壓:1120V3.5 過電流保護(hù)過電流

33、保護(hù)可以采用串聯(lián)熔斷器、過電流繼電器、快速開關(guān)、電子過電流保護(hù)等方法。合理的配置與選擇過電流保護(hù)是能否起到有效保護(hù)作用的關(guān)鍵??焖偃蹟嗥魇悄壳皬V泛應(yīng)用的保護(hù)措施,在發(fā)生過電流時,利用其快速熔斷特性和晶閘管過載特性相配合,使其先期熔斷并切斷電路,保護(hù)晶閘管??焖偃蹟嗥骶哂型ㄟ^電流越大,熔斷時間越短的特點,適合作短路保護(hù),但是不宜作過載保護(hù)??焖偃蹟嗥鞯倪x擇主要依據(jù)額定電壓UKT、額定電流Ikr兩個參數(shù)。本系統(tǒng)中快速熔斷器可以選擇RS3-500/250型,其主要參數(shù)如下:額定電壓:500V 熔斷器額定電流:300A熔斷體額定電流:250A 最大耗散功率:85W 3.6 晶閘管關(guān)斷過電壓阻容保護(hù)在晶

34、閘管關(guān)斷過程中,因反向電流迅速減小,回路中會產(chǎn)生很高的過電壓。一般來說,晶閘管的關(guān)斷過電壓通常是采用晶閘管兩端并聯(lián)阻容保護(hù)。本系統(tǒng)中依據(jù)需要電阻R和電容可以選擇R=75 C=0.15F3.7 電流檢測本設(shè)計中的電流檢測環(huán)節(jié)主要使用的是電流互感器,利用其檢測出交流電流,經(jīng)由二極管組成的整流橋電路變換成直流后再送入CPU內(nèi),CPU根據(jù)事先的設(shè)定作出處理,從而控制電流的變化區(qū)域,即實現(xiàn)了電流環(huán)的功能。其圖如下圖3-6所示:此部分各元件的具體參數(shù)如圖所示。圖3-6 電流檢測3.8 測速控制本設(shè)計中的轉(zhuǎn)速環(huán)是通過測速發(fā)電機將轉(zhuǎn)速轉(zhuǎn)換成電壓送入80C196KC,經(jīng)CPU按照預(yù)先設(shè)計的要求處理后發(fā)出控制信號

35、對電動機的轉(zhuǎn)速進(jìn)行調(diào)節(jié)控制,即實現(xiàn)了轉(zhuǎn)速環(huán)的功能。如圖3-7所示:根據(jù)鼠籠式異步電動機(Y接)的參數(shù)可以確定出轉(zhuǎn)速環(huán)各部件的參數(shù)如下:測速發(fā)電機:型號:ZYS-3A 電壓:110V 電流:0.2A 功率:22W 轉(zhuǎn)速:2000r/min其它參數(shù)見圖3-7所示:圖3-7 轉(zhuǎn)速測量4 控制回路設(shè)計控制回路以80C196KC單片機為核心,主要由同步信號電路、觸發(fā)電路、反饋電路、鍵盤電路和顯示電路5部分組成。4.1 功能模塊分述4.1.1 80C196KC80C196KC是CHMOS高性能16位單片機中第二代產(chǎn)品,其芯片如圖5:圖4-1 80C196KC芯片80C196KC有68個引腳,5個8位I/O

36、口,其中P0是只用于輸入的口,與A/D轉(zhuǎn)換器的模擬輸入端共享引腳;P1是準(zhǔn)雙向口;P2是多功能口;P3和P4可作雙向口或系統(tǒng)總線。6個HSO輸出端口,4個輸入端口。它的主要特征有:80C196KC內(nèi)部EPROM/ROM為16KB,內(nèi)部RAM為488字節(jié),采用“垂直窗口”結(jié)構(gòu)使新增的256字節(jié)的RAM通過窗口映射同樣可以作為通用寄存器來訪問。有3個PWM(脈寬調(diào)制)輸出;80C197KC對HSO增加了一條新的的命令格式,可對所有的HSO引腳同時尋址;80C196KC的A/D 轉(zhuǎn)換器可采用10/8位轉(zhuǎn)換方式,此外還可以對采樣時間和轉(zhuǎn)換時間進(jìn)行選擇;80C196KC有兩個不可擦出的可編程存儲器UPR

37、OM(UNERASABLE PPROM),強了加密手段80C196KCK可采用16MHz的晶振,起速度比12MHz快33%;(1)存儲空間80C196KC內(nèi)部EPROM/ROM為16KB,內(nèi)部RAM為488字節(jié),采用“垂直窗口”結(jié)構(gòu)使的新增的256字節(jié)的RAM通過窗口映射同樣可以作為通用寄存器來訪問。(2)定時器80C196KC有兩個定時器,(3)高速輸入器HSI高速輸入器HSI用于記錄某一外部事件發(fā)生的時間,時間基準(zhǔn)又定時器1提供,共可以記錄8個事件。有4根引腳(HSI.0HSI.3)可以作高速輸入腳,其中HSI.2和HSI.3是雙向引腳,也可以用作高速輸出引腳HSO.4和HSI.5,它們的

38、功能靠I/O控制寄存器IOC0和IOC1來選擇。它包括事件檢測器、先進(jìn)先出(FIFO)隊列寄存器,保持寄存器、HSI時間寄存器、HSI事件形式寄存器、HSI狀態(tài)寄存器組成。(4)高速輸出器HSO高速輸出HSO用于按程序設(shè)定的時間去觸發(fā)一事件,由于要求CPU(Central Processing Unit)的開銷極少,故速度極高,觸發(fā)的事件包括:起動A/D轉(zhuǎn)換、復(fù)位定時器、設(shè)置4個軟件定時器標(biāo)志或接通多達(dá)6根輸出線(HSO.0HSO.5),同一時可以掛號8個事件。當(dāng)事件被觸發(fā)時,還會發(fā)生中斷請求。HSO由HSO命令寄存器、HSO時間寄存器HSO保持寄存器、內(nèi)容寄存器(CAM)陣列、控制邏輯、多路

39、開關(guān)、定時器1定時器2和輸出部件組成。(5)A/D轉(zhuǎn)換器80C196KC的A/D轉(zhuǎn)換器有兩種轉(zhuǎn)換方式:一種是逐次逼近型的10位A/D轉(zhuǎn)換,共有8個通道;另一種是8位的A/D轉(zhuǎn)換,其轉(zhuǎn)換速度快,但分辨率低。采樣窗口和時間都可以用軟件來設(shè)置。其輸入引腳是與P0.0P0.7共享的ACH0ACH7。它是由一個8通道的摸擬多路轉(zhuǎn)換開關(guān)、一個采樣/持電路、一個逐次逼近型A/D轉(zhuǎn)換器、A/D命令寄存器、A/D結(jié)果寄存器和控制邏輯寄存器等組成(6)模擬輸出80C196KC可以采用兩種模擬輸出:一是通過內(nèi)部脈寬調(diào)制器(PWM)提供,周期固定、占空比可變、分辨率為1/256的脈寬調(diào)制方波;另一種是通過HSO提供,

40、周期和占空比可變、分辨率為1/65536的脈寬調(diào)制方波。兩種輸出都可以經(jīng)過濾波后變?yōu)橹绷髂M信號。利用HSO輸出PWM脈沖,可以把定時器1作為PWM中的計數(shù)器,把HSO中的一個CAM寄存器作為PWM寄存器。利用HSO產(chǎn)生PWM脈沖時,要向CAM寫2個數(shù)據(jù),一個數(shù)據(jù)控制HSO的某一輸出腳變?yōu)楦唠娖?,另一?shù)據(jù)控制同一輸出腳變?yōu)榈碗娖?。CAM有8個寄存器,共可以控制HSO的四根輸出線。通過修改寫入CAM的數(shù)據(jù)可以改變輸出的脈沖周期和占空比,定時器1輸入脈沖周期為2s,所以輸出PWM脈沖周期最高咳達(dá)約131ms。此時輸出的是TTL電平的脈沖,為得到較高精度的8位D/A輸出,在濾波前應(yīng)先經(jīng)過緩沖,使PW

41、M信號的幅度為05V。4.1.2 ULN2803ULN2803通常用作驅(qū)動,在本設(shè)計中將其用作顯示電路中LED的驅(qū)動,其引腳如圖4-2所示:圖4-2 芯片ULN28034.2 系統(tǒng)結(jié)構(gòu)4.2.1 CPU電路由80C196KC為核心組成的CPU電路包括程序存儲器(EPROM)電路、總線及讀寫控制電路以及CPU的時鐘電路、復(fù)位電路和報警電路等,由于80C196KC內(nèi)部沒有程序存儲器ROM,故其外圍電路必須擴展EPROM,并且使用地址鎖存器74LS373鎖存地址,以實現(xiàn)數(shù)據(jù)地址復(fù)用.在此不再詳述,其電路圖4-3所示: 圖4-3 CPU電路4.2.2 同步信號電路同步信號電路如圖4-4所示。來自同步變

42、壓器的二次電壓Uta、Utb、Utc與反并聯(lián)晶閘管的三相交流電壓同相位。Uta、Utb、Utc經(jīng)R1、C1濾波電路(附加相移30)、波形變換、光耦隔離、整形電路后輸出三相方波電壓,記為KA、KB、KC。三相方波分別送給80C196KC單片機的P4口的P4.5、P4.6、P4.7端。CPU根據(jù)KA、KB、KC的值能判斷三相交流電源的相位。三相方波信號經(jīng)D4、D5、D6異或門電路產(chǎn)生6倍頻的脈沖信號Utp,脈沖寬度取決于R4、C2電路的參數(shù)。波形分析如圖4-5所示。同步脈沖信號Utp加到80C196KC外中斷輸入EXTINT端,作為同步中斷信號。Utp同時加到高速輸入電路的HSI.1端,以供監(jiān)視同

43、步中斷信號Utp是否正??梢詸z測到缺相故障。4.2.3 晶閘管移相觸發(fā)脈沖電路移相控制時通過同步中斷脈沖開始后的延時控制來實現(xiàn)的。同步中斷脈沖的硬件電路前面已述。移相控制是由軟件來實現(xiàn)的。對于工頻交流電源,每個工頻周期為20毫秒。因此,移相控制角和延時時間是對應(yīng)的。在96系列單片機中,由高速輸出系統(tǒng)HSO實現(xiàn)延時控制不需占用CPU的處理事件。這是一大優(yōu)點。對應(yīng)移相控制角的延時時間常數(shù)用TA表示。經(jīng)分析,在晶振頻率為12MHz時,狀態(tài)周期為0.25微秒,則定時器T1的計時周期為Tj=800.25=2ms。那么TA與的關(guān)系為:T=.=式中,期望的移相控制角圖4-4 同步電路圖4-5 同步電路波形分

44、析當(dāng)從0變化到180式,TA從0變化到5000,即TA的最大值TAMM=5000。顯然,通過限制TA,即可限制min,max.按照模擬觸發(fā)電路的思路,觸發(fā)電路的控制電壓Uct的關(guān)系為:TA=TAMM-Uct式中,TA的取值范圍為0TAMM。TA的每一個數(shù)字表示2微秒延時時間,對應(yīng)工頻相位較為0.036由高速輸出口輸出的脈沖單窄脈沖,若需要雙窄脈沖,可由硬件電路實現(xiàn),如圖4-6所示。HSO.0HSO.5端分別輸出16脈沖,但這6根引腳輸出地為單脈沖,經(jīng)或非電路D1D6后,輸出為雙窄脈沖。經(jīng)光耦隔離電路、功率防大電路及脈沖變壓器后輸出雙窄觸發(fā)脈沖。例如,經(jīng)TM1輸出的UG1K1是由HSO.0輸出的

45、1單脈沖和HSO.1輸出的2單脈沖合成的,其中HSO.1端輸出的2單脈沖比1單脈沖滯后60,也稱為補脈沖由此也說明,對1至6單脈沖是有相序要求的,即對同步電壓Ura、Urb、Urc有相序要求。不然,如果同步電壓相序不正確,會導(dǎo)致1雙脈沖中的補脈沖(即2單脈沖)要超前1單脈沖,從而使整流器的控制角在不同相序條件下相差60。圖4-6 雙脈沖形成及脈沖輸出電路如圖4-7所示,相電壓的交點T1T6,就是可控硅六個管的控制起點,六個管的導(dǎo)通的順序為SCR1、SCR2、SCR3、SCR4、SCR5、SCR6。取線電壓Ubc從負(fù)半波到正半波的過零G(即T1時刻),作為同步基準(zhǔn)點,則觸發(fā)導(dǎo)通的第一對可控硅為S

46、CR1和SCR6。根據(jù)波形圖可以知道個可控硅的觸發(fā)時間及觸發(fā)順序如圖4-8所示:。 UA1UB1UC10tUtt00Utp1Utp2Utp3Utp4Utp5Utp6Utp1圖4-7 相、線電壓波形圖與可控硅觸發(fā)時間的關(guān)系圖4-8 各可控硅觸發(fā)時刻與順序4.2.4 鍵盤電路本系統(tǒng)設(shè)置了MODE(方式選擇鍵)、LOCK(鎖定鍵)、UP(增量鍵)、DOWN(減量鍵)4個鍵,通過程序可以檢測鍵盤是否一次按下或一直按下,即掃描鍵盤的狀態(tài),其圖如4-9所示:圖4-9 鍵盤電路圖 圖4-10 7段數(shù)碼管LED4.2.5 顯示電路LED(Lght emitting diode)是一種外加電壓(陽極電位比陰極電

47、位高)超過額定電壓時發(fā)生擊穿,從而流過電流并發(fā)出可見光(紅色、綠色、黃色或橙色)的器件。LED是屬于電流控制型期間(其工作電流通常在220mA范圍內(nèi)),工作壓降2V左右,使用時必須加限流電阻。由于LED體積小、功耗省、響應(yīng)快、所以用途很廣。除了作為微機顯示器,還可以用于大屏幕顯示裝置。在單片機應(yīng)用系統(tǒng)中,通常使用8個LED器件組成的七段LED顯示器。其中7個LED構(gòu)成七筆字型,另一個LED構(gòu)成小數(shù)點(故有時也稱為八段顯示器),如圖4-10所示:本系統(tǒng)中的顯示即是采用7段數(shù)碼管來實現(xiàn)的。通過ULN2803驅(qū)動,再接限流電阻,最后接到數(shù)碼管上,即LED顯示。此部分只需要要確定限流電阻,其阻值為:R

48、=1K5 軟件設(shè)計本系統(tǒng)采用結(jié)構(gòu)化模塊化思想,程序主要由3部分組成:軟起動(HSO)子程序、保護(hù)子程序、顯示子程序3部分。采用96系列單片機匯編語言編程,實現(xiàn)對數(shù)據(jù)的判斷、分析,控制功能。5.1 主程序設(shè)計主程序主要完成初始化、鍵盤顯示、速度PID運算、電流PID運算和標(biāo)度變換等功能。程序流程圖如下圖5-1所示:初始化 開中斷鍵盤速度PID顯示電流PID圖5-1 主程序流程圖5.2 速度PID程序其程序如下圖5-2所示:輸入 Cn Rn 計算en= rn -cn計算Pp=Kp(en-en-1)計算PI=KI*enun un-1, en-1 en-2 en en-1 unun= un-1+PI+

49、Pp+PD計算PI+Pp計算PD=KD(en-2en-1-en-2)返回圖5-2 速度PID程序流程圖參數(shù)內(nèi)存符號說明存儲單元符號說明3AHee低8位3BHee高8位3CHee低8位3DHee高8位2AHC采樣值3EHee低8位2BH暫存單元3FHPP高8位2FHuu高8位40HPP低8位30Huu低8位45HK導(dǎo)通定時31Hr給定值高8位46H暫存單元32Hr給定值低8位47H暫存單元33HKK高8位48H暫存單元34HKK低8位49H暫存單元35HKK高8位4AH暫存單元36HKK低8位4BH暫存單元37HKK高8位4CH暫存單元38HKK低8位4DH暫存單元39Hee高8位暫存單元5.3

50、 電流PID其程序流程圖如下圖5-4所示:輸入 計算en= rncn計算Pp=Kp(en-en-1)計算PI=KI*enun un-1, en-1 en-2 en en-1 unun= un-1+PI+Pp+PD計算PI+Pp計算PD=KD(en-2en-1-en-2)返回圖5-3 電流PID程序流程圖參數(shù)內(nèi)存分配表存儲單元符號說明存儲單元符號說明5BHee低8位5CHee高8位5DHee低8位5EHee高8位4EHC采樣值5FHee低8位4FH暫存單元60HPP高8位5OHuu高8位61HPP低8位51Huu低8位62HK導(dǎo)通定時52Hr給定值高8位63H暫存單元53Hr給定值低8位64H暫

51、存單元54HKK高8位65H暫存單元55HKK低8位67H暫存單元56HKK高8位68H暫存單元57HKK低8位69H暫存單元58HKK高8位6AH暫存單元59HKK低8位6BH暫存單元5AHee高8位暫存單元各部分程序見附錄1 程序清單。結(jié) 論 本次設(shè)計的電動機軟起動器主要由80C196KC、2864A、74LS373、ULK2803等元器件和CPU主板、主控顯示面板、驅(qū)動板、電動機控制回路組成,具有基本的控制功能、電動機保護(hù)功能、報警、參數(shù)設(shè)置等功能。經(jīng)過我和與我同組設(shè)計(主要做軟件設(shè)計)的同學(xué)的共同努力下,我們調(diào)試成功了軟起動器的部分程序,并解決了電動機的起動和停車的平滑控制。我們用28

52、64A來擴展單片機的外圍程序存儲器,利用同步移相觸發(fā)電路來控制晶閘管的倒通。本系統(tǒng)的主要功能有:(1)實現(xiàn)了電動機起動和停車的平滑性;(2)實現(xiàn)了各種過電壓、過電流的保護(hù),一出現(xiàn)異常,馬上報警并自動跳閘;(3)實現(xiàn)了對電流幅值和起動時間的修改;(4)減少了系統(tǒng)對電網(wǎng)電壓的沖擊。在本次電動機軟起動裝置的研究設(shè)計中,我不僅提高了綜合運用所學(xué)知識解決實際問題的能力,而且提高了科研和工程實踐技能水平,也提高了我的運算能力、識圖與制圖能力、實驗與調(diào)試能力、查閱手冊、使用國家技術(shù)標(biāo)準(zhǔn)和信息資料檢索能力,文字表達(dá)能力和一般組織能力。致 謝本次畢業(yè)設(shè)計歷時將近3個月,用到的知識比較多,涉及范圍也比較廣,難度也

53、較大,但在王新老師的幫助下得已順利完成。在此我對王老師表示由衷的感謝!由于時間倉促,許多方面可能還沒有考慮周到,存在一些不足之處,希望得到各位老師的幫助加以更正。最后由衷的感謝王老師以及給予我?guī)椭耐瑢W(xué)!參考文獻(xiàn)1陳伯時.交流調(diào)速系統(tǒng).北京:機械工業(yè)出版社,2胡崇岳.現(xiàn)代交流調(diào)速技術(shù).北京:機械工業(yè)出版社,3周明寶.電力電子技術(shù).北京:機械工業(yè)出報社,4高東林.單片機系統(tǒng)可靠掉電保護(hù)的實現(xiàn).測控技術(shù),5蔡洪亮.三相異步電動機的晶閘管軟起動方法及設(shè)計.黑龍江: 大學(xué)自然科學(xué)學(xué)報,2000年12月,6 許大中.賀益康.電機控制.杭州:浙江大學(xué)出版社,J19957 賴福新.電機控制系統(tǒng).上海交通大學(xué)出版社,19958 黃俊.半導(dǎo)休變流技術(shù).北京:機械工業(yè)出版社,19809 于小億.異步電動機軟起動控制研究D.湖

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論