基于Multisim的汽車尾燈電路仿真設(shè)計_第1頁
基于Multisim的汽車尾燈電路仿真設(shè)計_第2頁
基于Multisim的汽車尾燈電路仿真設(shè)計_第3頁
基于Multisim的汽車尾燈電路仿真設(shè)計_第4頁
基于Multisim的汽車尾燈電路仿真設(shè)計_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、東 北 石 油 大 學(xué)課 程 設(shè) 計課 程 電氣工程CAD 題 目 基于Multisim的汽車尾燈電路仿真設(shè)計 院 系 電氣信息工程學(xué)院電氣工程系 專業(yè)班級 電氣二11-1 學(xué)生姓名 褚羽松 學(xué)生學(xué)號 130603120127 指導(dǎo)教師 李夢達 劉偉 2015年 3 月 9日東北石油大學(xué)課程設(shè)計任務(wù)書課程 電氣工程CAD 題目 基于Multisim的汽車尾燈電路仿真設(shè)計 專業(yè) 電氣工程 姓名 褚羽松 學(xué)號 130603120127 主要內(nèi)容:本論文設(shè)計主要運用數(shù)電模電知識,借助Multisim軟件設(shè)計汽車尾燈電路,本文介紹了一種通過TTL系列產(chǎn)品設(shè)計模擬汽車尾燈工作情況電路的方法,主要闡述了如

2、何通過555系列來制作脈沖產(chǎn)生器,如何利用D觸發(fā)器產(chǎn)生三進制的計數(shù)器和使用譯碼器的一系列方法。通過發(fā)光二極管模擬汽車尾燈來實現(xiàn)汽車行駛中的四種情況:正常行駛、左拐彎、右拐彎、臨時剎車、檢查。主要參考資料:1 閻 石.數(shù)字電子技術(shù)基礎(chǔ)(第五版).北京:高等教育出版社,20062 張熙 .數(shù)字電路實驗.中國民航飛行學(xué)院3 童詩白 華成英 .模擬電子技術(shù)基礎(chǔ)(第四版).清華大學(xué)電子教研室 編.北京:高等教育出版社,20064 圖其非著,LED用于汽車尾燈的展望,光源與照明,2001(01)5 蘇本慶, 數(shù)字電子技術(shù), 北京:電子工業(yè)出版社,20076 韓克,柳秀山主編,電子技能與EAD技術(shù),暨南大學(xué)

3、出版社,20057 范愛平,周常森,數(shù)字電子技術(shù)基礎(chǔ),北京:清華大學(xué)出版社,20088 方大千,鮑俏偉.實用電子控制電路.北京:國防工業(yè)出版社,20039 康華光主編 數(shù)字電子基礎(chǔ) 北京 高等教育出版社(第四版),200410 姚福安 著.電子電路設(shè)計與實踐教程 山東 山東科學(xué)技術(shù)出版社完成期限 2013.3.92013.3.18 指導(dǎo)教師 李夢達 劉偉 專業(yè)負責(zé)人 2013年3 月9日電氣工程CAD課程設(shè)計(報告)目 錄1 引言22 設(shè)計介紹22.1設(shè)計背景與意義22.2 設(shè)計目的和要求33 設(shè)計思路33.1 汽車尾燈顯示狀態(tài)與汽車運行狀態(tài)的關(guān)系33.2 汽車尾燈控制器功能描述44 單元設(shè)計

4、54.1 時鐘信號源設(shè)計54.2 74LS74構(gòu)成的循環(huán)輸出64.3 開關(guān)控制的電路設(shè)計84.4 譯碼器與顯示驅(qū)動的設(shè)計94.5 尾燈狀態(tài)指示燈的設(shè)計115 電路的仿真及分析115.1 元器件與實驗設(shè)備清單115.2 電路仿真總電路圖125.3 尾燈控制與分析126 總結(jié)與反思16參考文獻1818基于Multisim的汽車尾燈電路仿真設(shè)計1 引言隨著集成電路和計算機技術(shù)的飛速發(fā)展,EDA技術(shù)應(yīng)運而生,它是一種高級、快速、有效的電子設(shè)計自動化技術(shù)。EDA技術(shù)就是以計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言VHDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布

5、局、布線和仿真,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術(shù)借助計算機存儲量大、運行速度快的特點,可對設(shè)計方案進行人工難以完成的模擬評估、設(shè)計檢驗、設(shè)計優(yōu)化和數(shù)據(jù)處理等工作。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計的效率和可操作性,減輕了設(shè)計者的勞動強度。Multisim是一種功能強大的電子電路仿真軟件,該軟件提供了龐大的元件數(shù)據(jù)庫和種類齊全的虛擬測試儀器表,可以方便的進行原理電路設(shè)計和電路功能測試。基于Multisim的汽車尾燈電路仿真設(shè)計,使用Multisim軟件來設(shè)計汽車尾燈電子電路,完成電路的設(shè)計、電路分析、仿真分析、仿真器件測試等等。汽車尾燈控制系統(tǒng)的設(shè)計誕生以

6、來經(jīng)過了無數(shù)次的改進,得到了廣泛的應(yīng)用。設(shè)計一個尾燈控制器,實現(xiàn)對汽車尾燈狀態(tài)的控制,探究一套既快又可靠,更加合理的設(shè)計方法,進行高性能汽車尾燈控制系統(tǒng)的設(shè)計研究,具有非常深遠的實際和理論意義。2 設(shè)計介紹2.1設(shè)計背景與意義Multisim簡介:Multisim是美國國家儀器(NI)有限公司推出的以Windows為基礎(chǔ)的仿真工具,適用于板級的模擬/數(shù)字電路板的設(shè)計工作。它包含了電路原理圖的圖形輸入、電路硬件描述語言輸入方式,具有豐富的仿真分析能力。工程師們可以使用Multisim交互式地搭建電路原理圖,并對電路進行仿真。Multisim提煉了SPICE仿真的復(fù)雜內(nèi)容,這樣工程師無需懂得深入的

7、SPICE技術(shù)就可以很快地進行捕獲、仿真和分析新的設(shè)計,這也使其更適合電子學(xué)教育。通過Multisim和虛擬儀器技術(shù),PCB設(shè)計工程師和電子學(xué)教育工作者可以完成從理論到原理圖捕獲與仿真再到原型設(shè)計和測試這樣一個完整的綜合設(shè)計流程。目前普遍應(yīng)用的版本為Multisim10.0。它可以實現(xiàn)原理圖的捕獲、電路分析、電路仿真、仿真儀器測試、射頻分析、單片機等高級應(yīng)用。軟件界面友好,操作方便、繪制電路圖需要的元件、電路仿真需要的儀器都可以直接從工作平臺上選取,運行環(huán)境逼真,并提供較為詳細的電路分析手段,具有較強的仿真分析能力。軟件支持模擬電路、數(shù)字電路、模擬數(shù)字混合電路以及電工電子技術(shù)應(yīng)用的設(shè)計仿真。設(shè)

8、計意義:汽車使用越來越多,雖然汽車尾燈控制系統(tǒng)的設(shè)計誕生以來經(jīng)過了無數(shù)次的改進,得到了廣泛的應(yīng)用。隨著電子技術(shù)的發(fā)展,對于汽車的控制電路,已經(jīng)從過去的全人工開關(guān)發(fā)展到智能控制。在夜晚或因天氣原因能見度不高的時候,人們對汽車安全行駛的要求很高,汽車尾燈控制系統(tǒng)給大家?guī)砹朔奖?。汽車尾燈控制器是隨著汽車智能化技術(shù)發(fā)展而迅速發(fā)展起來的,汽車尾燈一般是基于微處理的硬件電路結(jié)構(gòu)構(gòu)成,正是因為應(yīng)為硬件電路的局限性,不能隨意的更改電路的功能和性能,還有待我們繼續(xù)對其進行研究和探討。探究一套既快又可靠,更加合理的設(shè)計方法,以便更好的為國民經(jīng)濟的發(fā)展和人們的生活服務(wù)。因此,進行高性能汽車尾燈控制系統(tǒng)的設(shè)計研究,

9、具有非常深遠的實際和理論意義。并且在設(shè)計的同時更好的鞏固和加深對基礎(chǔ)知識的理解,學(xué)會設(shè)計中小型數(shù)字系統(tǒng)的方法,獨立完成調(diào)試過程,增強理論聯(lián)系實際的能力,提高設(shè)計者設(shè)計電路和分析電路的能力。通過實踐教學(xué)引導(dǎo)設(shè)計者在理論指導(dǎo)下有所創(chuàng)新,為日后工程工程實踐奠定基礎(chǔ)。2.2 設(shè)計目的和要求設(shè)計目的:設(shè)計一個尾燈控制器,實現(xiàn)對汽車尾燈狀態(tài)的控制,熟悉和掌握世紀(jì)電子技術(shù)應(yīng)用所需要的完整流程,即電路原理圖設(shè)計、電路性能仿真與測試,熟悉Multisim軟件,在計算機上用Multisim軟件繪制電路圖,并且進行仿真分析,得出結(jié)論。設(shè)計要求:汽車尾燈的控制電路由四個按鍵組成,分別對應(yīng)左轉(zhuǎn),右轉(zhuǎn),剎車和檢驗。汽車尾

10、燈左右兩側(cè)各有三個指示燈(用發(fā)光二極管模擬),汽車正常行駛時指示燈全滅;右轉(zhuǎn)彎時,右側(cè)3個指示燈按右循環(huán)順序點亮;左轉(zhuǎn)彎時左側(cè)三個指示燈按左循環(huán)順序點亮;臨時剎車時所有尾燈同亮;檢查時所有指示燈同時閃爍。要求電路設(shè)計原理盡量簡單,努力做到構(gòu)思巧妙,嘗試?yán)煤唵蔚钠骷崿F(xiàn)較為復(fù)雜的控制,考慮現(xiàn)實中汽車尾燈的實用性和成本的問題。3 設(shè)計思路3.1 汽車尾燈顯示狀態(tài)與汽車運行狀態(tài)的關(guān)系為了區(qū)別汽車尾燈的四種狀態(tài)所對應(yīng)的四種顯示模式,需要設(shè)定四個狀態(tài)控制變量。選用開關(guān)K1、K2、K3、K4進行顯示模式控制,列出尾燈的實現(xiàn)狀態(tài)與汽車運行狀態(tài)的對應(yīng)關(guān)系,如表3.1所示(“1”表示高電平,“0”表示低電平)

11、開關(guān)控制汽車運行狀態(tài)左側(cè)尾燈右側(cè)尾燈K1K2K3K41110正常行駛燈滅燈滅0110左轉(zhuǎn)彎左側(cè)燈順序點亮燈滅1010右轉(zhuǎn)彎燈滅右側(cè)燈順序點亮1100臨時剎車所有尾燈全亮1111檢查所有尾燈同時閃爍表3.1 汽車尾燈和尾燈狀態(tài)3.2 汽車尾燈控制器功能描述在汽車左右轉(zhuǎn)彎行駛時由于3個指示燈被循環(huán)點亮,所以可以用一個三進制計數(shù)器的狀態(tài)控制譯碼器電路順序輸出合適電平,點亮指示燈,只需選用合適的接口連接發(fā)光二極管指示燈,則可得出按要求三個指示燈順序點亮的電路。設(shè)三進制計數(shù)器的狀態(tài)用Q1和Q0表示,可得出描述指示燈D1、D2、D3、D4、D5、D6與開關(guān)控制變量K1、K2、K3、K4,計數(shù)器的狀態(tài)Q1、

12、Q0以及時鐘脈沖cp之間關(guān)系的功能表如表3.2所示(表中指示燈的狀態(tài)“1”表示點亮,“0表示熄滅”)。控制變量計數(shù)器狀態(tài)汽車尾燈K1K2K3K4Q1Q0D1 D2 D3D4 D5 D611100 0 00 0 001100010100 0 10 1 01 0 00 0 00 0 00 0 010100010100 0 00 0 00 0 01 0 00 1 00 0 111001 1 11 1 11111cp cp cpcp cp cp表3.2 汽車尾燈控制器狀態(tài)根據(jù)以上設(shè)計分析與功能,可以得出汽車尾燈控制器的結(jié)構(gòu)框圖如圖3.1所示:555計時器D觸發(fā)器邏輯電路左轉(zhuǎn)右轉(zhuǎn)分揀電路開關(guān)控制轉(zhuǎn)向信號

13、與剎車、檢查信號發(fā)光二極管點亮圖3.1 控制結(jié)構(gòu)框圖4 單元設(shè)計4.1 時鐘信號源設(shè)計由于汽車尾燈的點亮是給人不同的信息及該車將要發(fā)生的動作,所以汽車尾燈在閃爍的時候不能超過一定的頻率,但是頻率也不能太小,所以我們在設(shè)計的時候是采用的555定時器產(chǎn)生的一個脈沖,占空比約為50%,頻率約為50。所以尾燈在循環(huán)點亮的時候時間間隔約為0.6S,這樣就能讓人很清楚的明白該汽車的動作,從而避免交通事故的發(fā)生。圖4.1 555引腳圖工作原理簡述:555定時器和外接元件R1、R2、C構(gòu)成多諧振蕩器,腳2,6直接相連。電路沒有穩(wěn)態(tài),僅存在兩個暫穩(wěn)態(tài),電路也不需要外加觸發(fā)信號,利用電源通過R1、R2向C充電,以

14、及C通過R2向放電端(腳7)放電,使電路產(chǎn)生振蕩。電容C在1/3Vcc和2/3Vcc之間充電和放電。參數(shù)計算: 周期高電平時間:低電平時間:占空比:圖4.2 555脈沖原理圖圖4.3 555脈沖波形圖4.2 74LS74構(gòu)成的循環(huán)輸出計數(shù)器主要由邊沿觸發(fā)器組成。各種類型的觸發(fā)器雖然結(jié)構(gòu)不同,但都有一個共同的基本特性,即都有兩個穩(wěn)定狀態(tài),在外來觸發(fā)信號作用下,電路可以從一個穩(wěn)定狀態(tài)轉(zhuǎn)換到另一個穩(wěn)定狀態(tài);在沒有觸發(fā)信號時,電路保持原來穩(wěn)定狀態(tài)不變。計數(shù)器電路正是利用了觸發(fā)器的這一特性。因此,用觸發(fā)器可以構(gòu)成各種形式的計數(shù)器。本設(shè)計采用D觸發(fā)器構(gòu)成三數(shù)字循環(huán)輸出。圖4.4 74LS74引腳圖圖4.5

15、 74LS74功能表設(shè)計方案:有D觸發(fā)器構(gòu)成三進制計數(shù)器,由于D觸發(fā)器的特性方程微:;又因為;兩個D觸發(fā)器可由一片74LS74芯片實現(xiàn)和用74LS02與門實現(xiàn)電路功能。圖4.6 三進制功能電路圖圖4.7 三進制功能波形圖4.3 開關(guān)控制的電路設(shè)計設(shè)譯碼器與顯示驅(qū)動電路的使能控制信號為G和F,關(guān)于譯碼器74LS138的使能端G1相連接,F(xiàn)與顯示驅(qū)動電路中與門的一個輸入端相連接。有總體邏輯功能可知,G和F與開關(guān)控制變量,K1,K2,K3,K4以及時鐘脈沖CP之間的關(guān)系如表4.1所示。開關(guān)控制使能信號電路工作狀態(tài)K1K2K3K4GF111001汽車行駛此時譯碼器不工作,輸出均為高電位,顯示驅(qū)動的與門

16、輸出均為高電位,尾燈全部熄滅。011011汽車左轉(zhuǎn)譯碼器工作,顯示驅(qū)動的與門決定于譯碼器輸出,尾燈在譯碼器的作用下循環(huán)點亮。101011汽車右轉(zhuǎn)譯碼器工作,顯示驅(qū)動的與門決定于譯碼器輸出,尾燈在譯碼器的作用下循環(huán)點亮。110000汽車臨時剎車譯碼器不工作使能控制信號F為低電平通過顯示驅(qū)動的與門尾燈全部點亮。11110Cp汽車檢查譯碼器不工作,輸出全為高電平,脈沖信號與顯示驅(qū)動作用使左右兩側(cè)燈閃爍。表4.1 開關(guān)控制表由上述表格可得:; 根據(jù)G和F的邏輯表達式,畫出開關(guān)控制電路如圖4.8所示:圖4.8 開關(guān)控制電路4.4 譯碼器與顯示驅(qū)動的設(shè)計譯碼器的邏輯功能是將每個輸入的二進制代碼譯成對應(yīng)的輸

17、出高、低電平信號或另外一個代碼,因此,譯碼是編碼的反操作。二進制譯碼器的輸入是一組二進制代碼,輸出時一組與輸入代碼一一對應(yīng)的高、低電平信號。圖4.9 74LS138引腳圖輸入輸出S1S2+S3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y70××××11111111×1×××1111111110000011111111000110111111100101101111110011111011111010011110111101011111101110110111111011011111111110表4.2 74LS138

18、的功能表工作原理簡述:74LS138是一種3線8線譯碼器, 設(shè)有三個使能輸入端,當(dāng)S3與S2均為0,且S1為1時,譯碼器處于工作狀態(tài),輸出低電平。當(dāng)譯碼器被禁止時,輸出高電平。三個輸入端A0A1A2共有8種狀態(tài)組合(000-111),可譯出8個輸出信號Y0-Y7。在本設(shè)計中三個輸入端分別接三個開關(guān),開關(guān)狀態(tài)的變化通過譯碼器譯出,然后和門電路組成尾燈控制電路,實現(xiàn)對尾燈的控制。圖4.10 74LS138的部分電路圖74LS138譯碼器顯示電路譯碼器與顯示驅(qū)動的功能是:在開關(guān)控制電路輸出和三位循環(huán)輸出的作用下,提供六個尾燈的控制信號,當(dāng)譯碼器電路輸出控制信號為低電平時相應(yīng)的指示燈點亮。譯碼與顯示驅(qū)

19、動電路可用74LS138、六個與門74LS02構(gòu)成。圖中譯碼器的輸入端C、B、A分別接K1、Q1、Q0,當(dāng)圖中G=F=1、K1=0時,對于Q1Q0的狀態(tài)為01、10、00,譯碼器依次輸出低電位指示燈依次點亮,示意汽車左轉(zhuǎn);當(dāng)圖中G=F=1、K1=1時,對于Q1Q0的狀態(tài)為01、10、00,譯碼器依次輸出低電位指示燈依次點亮,示意汽車右轉(zhuǎn);當(dāng)圖中G=0,F(xiàn)=1時,譯碼器輸出全為1,使所有指示燈對應(yīng)輸出全為高電平,指示燈全部熄滅;當(dāng)圖中G=0,F(xiàn)=0時汽車臨時剎車譯碼器不工作使能控制信號F為低電平通過顯示驅(qū)動的與門尾燈全部點亮;當(dāng)圖中G=0,F(xiàn)=CP時,所有指示燈隨CP的頻率閃爍。實現(xiàn)了四個開關(guān)四

20、種模式下的尾燈狀態(tài)顯示。4.5 尾燈狀態(tài)指示燈的設(shè)計為了使駕駛員能夠及時了解自己對汽車尾燈的狀態(tài)信息,設(shè)計了汽車尾燈指示燈,簡單其間設(shè)計兩個指示燈位于方向盤兩側(cè),當(dāng)汽車左轉(zhuǎn)時左側(cè)指示燈點亮,當(dāng)汽車右轉(zhuǎn)時右側(cè)指示燈點亮,汽車剎車時左右兩側(cè)燈同時點亮,汽車檢查時兩側(cè)等同時閃爍。圖4.11 尾燈檢查電路圖5 電路的仿真及分析5.1 元器件與實驗設(shè)備清單序號名稱(器件/設(shè)備)型號與規(guī)格數(shù)量13-8譯碼器74LS13812D觸發(fā)器74LS7413555定時器NE55514與門74LS0895或門74LS0216與非門74LS0017定值電阻300歐81K歐、14K歐各18異或門74HC8619電解電容1

21、F、0.01F各110開關(guān)閘411導(dǎo)線若干12LED燈驅(qū)動電壓2v813實驗箱214示波器115萬用表1表5.1設(shè)備清單表5.2 電路仿真總電路圖圖5.1電路仿真總圖5.3 尾燈控制與分析其工作原理如圖5.2所示,經(jīng)過對電路圖進行仿真和分析可以完成各項內(nèi)容要求,將電路圖分為以下幾部分:首先,通過555定時器構(gòu)成的多諧震蕩器產(chǎn)生頻率為50 Hz的脈沖信號,該脈沖信號用于提供給雙D觸發(fā)器構(gòu)成的三位循環(huán)器和開關(guān)控制器電路中的K3輸入與非門的輸入信號。圖5.2 脈沖電路圖圖5.3 脈沖波形圖其次,產(chǎn)生00、01、10的循環(huán)信號,此信號提供左轉(zhuǎn)、右轉(zhuǎn)的原始信號。最后,汽車正常行駛時K1K2K3K4為11

22、10、GF為01譯碼器不工作,輸出均為高電位,顯示驅(qū)動的與門輸出均為高電位,尾燈全部熄滅,指示燈熄滅。圖5.4 正常行駛狀態(tài)左轉(zhuǎn)狀態(tài)時,K1K2K3K4為0110、GF為11譯碼器工作,顯示驅(qū)動的與門決定于譯碼器輸出,尾燈在譯碼器的作用下循環(huán)點亮。循環(huán)信號通過74138譯碼,輸出低電位有效信號和3個與門提供的高位信號產(chǎn)生有效地為信號,是的規(guī)定的尾燈點亮。左轉(zhuǎn)時左邊指示燈點亮, 圖5.5 左轉(zhuǎn)燈狀態(tài)顯示右轉(zhuǎn)狀態(tài)時,K1K2K3K4為1010、GF為11譯碼器工作,顯示驅(qū)動的與門決定于譯碼器輸出,尾燈在譯碼器的作用下循環(huán)點亮。循環(huán)信號通過74138譯碼,輸出低電位有效信號和3個與門提供的高位信號產(chǎn)

23、生有效地為信號,是的規(guī)定的尾燈點亮。右轉(zhuǎn)時右邊指示燈點亮, 圖5.6 右轉(zhuǎn)燈狀態(tài)顯示剎車時,K1K2K3K4為1100、GF為00,74138為無效譯碼器不工作,輸出都為高電平,開關(guān)K3產(chǎn)生低電位通過與門使得所有尾燈點亮,指示燈都點亮。圖5.7 剎車燈狀態(tài)顯示當(dāng)檢查時,K1K2K3K4為1110、GF為01,74138為無效譯碼器不工作,輸出全為高電平,脈沖信號與顯示驅(qū)動作用使左右兩側(cè)燈閃爍,指示燈閃爍。圖5.8 尾燈設(shè)計自檢狀態(tài)6 總結(jié)與反思汽車尾燈控制電路是一種應(yīng)用極為廣泛的設(shè)備,具有較好的性價比,設(shè)計過程中發(fā)現(xiàn)整個電路結(jié)構(gòu)并不復(fù)雜。整個電路主要有四部分組成:時鐘脈沖發(fā)生器、觸發(fā)器、邏輯控

24、制門及發(fā)光管驅(qū)動電路。本設(shè)計主要以數(shù)字電路為主,通過簡單的芯片(如74LS138、74LS00、555等)而巧妙的設(shè)計成一個智能化的汽車尾燈控制電路。該電路能實現(xiàn)現(xiàn)實生活中汽車尾燈控制的正常行駛、左轉(zhuǎn)、右轉(zhuǎn)、剎車、檢查四種功能。此電路設(shè)計原理簡單,構(gòu)思巧妙,利用簡單的器件實現(xiàn)較為復(fù)雜的控制,結(jié)構(gòu)比較明晰。電路設(shè)計中有很多實用的地方,駕駛儀顯示能夠及時提醒駕駛員車的行駛狀態(tài),減少交通事故的發(fā)生率。設(shè)計了線路自檢電路,能監(jiān)測線路的好壞,及時反饋給駕駛員,保證行車安全。在經(jīng)過了一段時間的忙碌后,課程設(shè)計終于接近了尾聲,還記得剛開始的時候看著設(shè)計要求,腦中幾乎一片空白,學(xué)了的東西忘的差不多了,不知道從

25、何下手,甚至不知道有些芯片的作用。我們只好一步步地查資料,復(fù)習(xí)以前學(xué)過的課本,漸漸地我們有了些眉目,加上網(wǎng)絡(luò)上的一些范例給我們的啟發(fā),我開始從畫方框圖到列真值表一步一步的展開了我的設(shè)計。對于Multisim軟件不是很熟悉花了短時間學(xué)習(xí)軟件,并且用一些簡單的電路進行練習(xí),在設(shè)計過程中設(shè)計思路很重要,只要設(shè)計思路是正確的,設(shè)計就成功了一半,設(shè)計過程中會遇到很多問題,應(yīng)該迎難而上,加深對知識的理解,慢慢分析。電路圖設(shè)計出來后但是仿真也會出現(xiàn)很多問題。在老師的指導(dǎo)下,我不斷尋找問題解決問題一次次的提高,我想這也是最吸引人的地方,遇到問題解決問題,當(dāng)真正投入時才發(fā)現(xiàn)樂在其中。通過這次課程設(shè)計,使我認識到

26、學(xué)過的知識要經(jīng)常想辦法去用,才能記得更牢。還有我們理論聯(lián)系實際的能力還不夠,動手能力也需要提高。這些都應(yīng)該在以后的學(xué)習(xí)中,更加注重。進行課程設(shè)計是理論聯(lián)系實際的很好的途徑使得我們更加牢固的掌握分析與設(shè)計的基礎(chǔ)知識與理論,鋼架熟悉各種不同規(guī)模的邏輯器件,掌握邏輯電路的分析和設(shè)計的基本方法。通過軟件設(shè)計使得電子產(chǎn)品的設(shè)計成本大大降低,設(shè)計的過程中我不斷對Multisim軟件進行學(xué)習(xí),熟悉了Multisim軟件并且對軟件中的各種器件和功能有了一定的了解。無論是電路設(shè)計之初的資料還是成型的電路圖都讓我學(xué)習(xí)到了很多新東西,同時彌補了之前所學(xué)知識的不足,收獲了很多。設(shè)計展望:(1)此電路可以利用相關(guān)傳感器進行開關(guān)控制,從而達到自行控制燈光

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論