基于FPGA的交通燈控制器設計_第1頁
基于FPGA的交通燈控制器設計_第2頁
基于FPGA的交通燈控制器設計_第3頁
基于FPGA的交通燈控制器設計_第4頁
基于FPGA的交通燈控制器設計_第5頁
已閱讀5頁,還剩4頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、謝謝觀賞隨著城鄉(xiāng)的經濟發(fā)展,車輛的數(shù)量在迅速的增加,交通阻塞的問題已經嚴重影響了人們的出行?,F(xiàn)在的社會是一個數(shù)字化程度相當高的社會,很多的系統(tǒng)設計師都愿意把自己的設計設計成集成電路芯片,芯片可以在實際中方便使用。隨著EDA技術的發(fā)展,嵌入式通用及標準FPG端件的呼之欲出,片上系統(tǒng)(SOC)已經近在咫尺。FPGA/CPL以其不可替代的地位及伴隨而來的極具知識經濟特征的IP芯片產業(yè)的崛起,正越來越受到業(yè)內人士的密切關注。FPG剛是在這樣的背景下誕生的,它在數(shù)字電路中的地位也越來越高,這樣迅速的發(fā)展源于它的眾多特點。交通等是保障交通道路暢通和安全的重要工具,而控制器是交通燈控制的主要部分,它可以通過

2、很多種方式來實現(xiàn)。在這許許多多的方法之中,使用FPG母口VHDL言設計的交通燈控制器,比起其他的方法顯得更加靈活、易于改動,并且它的設計周期性更加短。城市中的交通事故頻繁發(fā)生,威脅著人們的生命健康和工作生活,交通阻塞問題在延遲出行時間的同時,還會造成更多的空氣污染和噪聲污染。在這種情況下,根據每個道路的實際情況來設置交通燈,使道路更加通暢,這對構建和諧暢通的城市交通有著十分重要的意義。第一章軟件介紹1.1Quartusn介紹本次畢業(yè)設計是基于FPGA的設計,F(xiàn)PG是現(xiàn)場可編程門陣列,F(xiàn)PGAF發(fā)工具種類很多、智能化高、功能非常的強大。可編程QuartusR是一個為邏輯器件編程提供編程環(huán)境的軟件

3、,它能夠支持VHDLVerilogHD昭言的設計。在該軟件環(huán)境下,設計者可以實現(xiàn)程序的編寫、編譯、仿真、圖形設計、圖形的仿真等許許多多的功能。在做交通燈控制器設計時選擇的編程語言是VHD語言。在這里簡單的介紹一下QuartusR的基本部分。圖1-1-1是一幅啟動界面的圖片。在設計前需要對軟件進行初步的了解,在圖中已經明顯的標出了每一部分的名稱。圖1-1-1啟動界面開始設計前我們需要新建一個工程,首先要在啟動界面上的菜單欄中找到File,單擊它選擇它下拉菜單中的“NewProjectWizard”時會出現(xiàn)圖1-1-2所顯示的對話框,把項目名稱按照需要填好后單擊Next,便會進入圖1-1-3顯示的

4、界面。圖1-1-2創(chuàng)建工程框圖1-1-3芯片選擇框根據自己選擇的實驗設備選擇好相應的芯片型號點擊Next,進入下一個步驟當出現(xiàn)圖1-1-5時,點擊“Finish”后這個工程就建立好了。圖1-1-4仿真器選擇框圖1-1-5對話框建好工程后開始進行設計,首先在圖1-1-6中單擊可e后選擇new,接著會出現(xiàn)圖1-1-7,在交通燈控制器的設計中我們選擇的是VHDLFile,當出現(xiàn)圖1-1-8時就可以把編輯的程序敲入編輯器中。圖1-1-6工程建好后的界面圖1-1-7新建文件類型選擇框圖1-1-8程序編輯框在第一章中對QuartusR軟件的使用做一個簡單介紹,設計中的編譯和仿真步驟在后面的幾章中會做出介紹

5、。第二章交通燈控制器設計的概述2.1 控制器設計描述2.1.1 設計任務要求設計交通燈控制器,分別在四個方向都安裝紅、黃、綠三種顏色的交通指示燈,紅燈表示停止,綠燈表示通行,黃燈表示左轉和直行將要禁止通行,四個方向分別還安裝有倒計時的計時器。2.1.2 設計要求東西方向和南北方向各有組指示燈,紅燈亮的時間為20S,黃燈亮的時間為5S,綠燈亮的時間為25S。三種燈亮滅的順序為紅燈、綠燈、黃燈。第三章交通燈控制器的設計過程3.1 設計方案本設計課題用FPG林實現(xiàn)智能交通燈的設計,本設計現(xiàn)要研究的問題主要有:智能交通燈的設計方案;各功能模塊的設計與實現(xiàn);如何用VHDLS寫源程序以及進行系統(tǒng)仿真。3.

6、1.1 框圖設計交通燈控制器設計的框圖中包括控制器、分頻器、顯示器、指示燈、譯碼器、位選器。當?shù)褂嫊r為零時,控制器改變交通燈的顏色,同時倒計時開始進入下一個倒計時。當有緊急情況出現(xiàn)的時候,四面的交通燈都會變?yōu)榧t燈,緊急情況的處理在設計中是依靠HOLD!來實現(xiàn)的。圖3-1-1-1是交通燈設計的設計框圖譯 碼顯示器1東、西、南圖3-1-1-1交通燈設計的設計框圖系統(tǒng)的紅、黃、綠燈顯示的總時間為50s,具體的亮燈時間和亮燈順序看表3-1-1-2表3-1-1-2交通燈亮燈順序與亮燈時間安排東西方向(AT)南北方向A錄燈亮(20s)B紅燈亮(B燈)(025s)A黃燈亮(21 25s)B綠燈亮(2645s

7、)(2650s)B黃燈亮(4650s)謝謝觀賞圖3-1-2工程流程圖創(chuàng)建一個 新的工程保存輸入 的程序3.1.2工程流程圖對程序進行編譯,有錯誤的要進行修3.1.3時序圖的假象在時序圖中,上升沿有效,即為“1”時燈亮,好比RedM上升沿(“1”)時A方向的紅燈是亮的,相對的Green他為上升沿(“1”)B方向上綠燈是亮的。具體的時序顯示見圖3-2時序圖。圖3-2時序圖3.2 模塊設計3.2.1 主控制器模塊在QuartusII按照1-1中的方法新建一個工程并新建一個文件項目后,在圖1-1-8程序編輯框中將主控制器的程序輸入后保存。接著需要對主控制器的程序進行編譯,編譯的步驟是點擊Project

8、-SetasTop將文件置頂,具體的參考圖3-2-1-1置頂,接著點擊圖3-2-1-2的StartCompilation進行編譯。圖3-2-1-1置頂圖3-2-1-2編譯在編譯的過程中,出現(xiàn)了一些錯誤,在我編譯主控制器的程序時,出現(xiàn)的錯誤是沒有將CLOCK入PROCESS。,將程序中的錯誤改正后有時還會出現(xiàn)些Warning,有些Warning并不會影響后面的設計步驟。將上面的錯面都改正后,就會顯示圖3-2-1-3所顯示的對話框。下一步就是對程序進行時序仿真,圖3-2-1-3編譯成功程序編譯無措后在當前的工程下面新建一個文件,點擊File出現(xiàn)圖3-2-1-4新建文件對話框,選擇圖中顯示藍色的選項

9、就會出現(xiàn)我們想要的界面,把鼠標移在圖3-2-1-5時序仿真編輯框中左邊的空白處,然后點擊右鍵,選擇INSERTINSERTNODEORBU&項出現(xiàn)另一個對話框,選擇對話框中的NODEINDER!就會產生新的對話框,點擊LIST是在左下角的空白處會出現(xiàn)很多的引腳,我們選中需要的引腳后確定后,我們需要的引腳就會出現(xiàn)在時序仿真編輯中左邊的空白處,我們對輸入信號進行設定,若想讓輸出信號顯示為十六進制數(shù),我們可以選中輸出信號然后點擊鼠標的右鍵選擇VALUECOUNVALUE后出現(xiàn)的對話框中可以選擇自己需要顯示的進制類型和進制數(shù)的定時等。對輸出輸入信號編輯完成后保存。在菜單工具欄中選擇PROCESSING

10、SIMULATOOROOL出現(xiàn)圖3-2-1-6的對話框,按照對話框顯示的進行設定,點擊QENERATFUNCTIONALSTARTREPORT就會得出我們想要的圖3-2-1-7主控制器時序仿真圖。圖3-2-1-4新建文件對話框圖3-2-1-5仿真編輯框圖3-2-1-6仿真工具對話框圖3-2-1-7主控制器的仿真圖圖3-2-1-7中顯示的仿真結果和設計前預計的時序仿真圖的結果是相符合的。時序圖中的HOL罡保持信號,當HOLDF號為有效信號(“1”)時,則四個方向的路燈都是紅燈亮,當HOL無效時,四個方向的信號燈會正常工作。RESET號有效時,計數(shù)器的顯示就會重新從零開始。圖中四個方向的指示燈的亮

11、滅順序是正確的。圖3-2-1-8主控制器的模塊主控制器的時序仿真完成后,一個程序的設計、仿真就已經暫時結束了,下一步還要進行圖形的設計,在總的圖形設計前,需要先將每個程序都生成模塊,為之后的設計做好準備。點擊File-CreateUpdade-CreateSymbolFilesforCurrentFile,完成這個操作過程后便會生成主控制器的模塊,具體的看圖3-2-1-8主控制器的模塊。模塊中主要有CLOCKRESETHOLDNUMIANUMB六個指示燈的輸出。3.2.2 分頻器模塊設計中需要用到1秒鐘的脈沖,分頻這個模塊就是為了改變頻率脈沖波,使20Mhz勺頻率最終變?yōu)?hz的脈沖,這樣就可

12、以得到一個周期是1秒鐘的脈沖,分頻器的程序見附錄。具體的程序編譯過程和仿真的過程和主控制模塊中談到的過程是相同的。按照上面談的步驟完成后就會得到圖3-2-2-1分頻模塊的時序仿真圖。圖3-2-2-1分頻模塊的仿真圖圖3-2-2-2分頻模塊分頻的程序經過編譯和時序仿真圖后生成的模塊是圖3-2-2-2分頻模塊所顯示的20mhz勺脈沖通過第一模塊時變?yōu)?0hz,再經過第二個模塊后變成1hz的脈沖。3.2.3 提取顯示值模塊提取顯示值的功能是在10hz的速度下提取顯示值,得到的是顯示時間值的十位和個位。圖3-2-3-1提取顯示值的時序仿真圖中NUMIN1主控制器白輸出值,NUMANUMB個輸出端口是要

13、顯示的值的高位和低位。高位的最大輸出值是為二的四位二進制數(shù),低位的最大輸出值是為九的四位二進制數(shù)。圖3-2-3-2是提取顯示值生成的模塊。提取顯示值的程序見文章的附錄。圖3-2-3-1提取顯示值的仿真圖仿真圖中,NUMB從0開始計數(shù),當計到9時NUMA為1,NUMB新從0開始計數(shù),當計到9時NUMA計為2,NUMB次從0開始計數(shù),當NUMA高位)計數(shù)到2時,NUMB最大值輸出為5。圖3-2-3-2提取顯示值的模塊3.2.4 動態(tài)掃描模塊LEEM示的工作原理是利用人類的視覺特性。七段LEtM字顯示塊是由“a、b、c、d、e、f、g、dp”這幾段組成的,LED勺顯示原理就是要看這八段中的哪幾段是亮

14、的,那幾段是滅的。每段管子不是同時被點亮的并且點亮的時間都是極短的,又因為變化的時間很短暫,亮滅的過程中留下的余光會給人一種錯覺,人的眼睛覺得是一組靜態(tài)的顯示燈。具體的動態(tài)掃描的程序請看正文后的附錄。圖3-2-4-1是動態(tài)掃描的時序仿真圖,圖3-2-4-2是動態(tài)掃描生成的模塊。圖3-2-4-1動態(tài)掃描的時序仿真圖圖3-2-4-2動態(tài)掃描的模塊3.2.5 位選器模塊位選程序的輸入和編譯完成后,對程序進行仿真,仿真的步驟依然和上面談到的一樣,下面兩幅圖一張是位選程序的時序仿真圖,另一幅是位選程序生成的模塊。見圖3-2-5-1和圖3-2-5-2。圖3-2-5-1位選時序仿真圖圖3-2-5-2位選模塊

15、3.2.6 譯碼器模塊譯碼器是將要顯示的數(shù)字轉換成驅動七段數(shù)碼管的信號,程序中的NUMT動態(tài)掃描模塊相連,將四位二進制數(shù)轉換為八位二進制數(shù),再通過LED8俞出。譯碼器的程序編輯和編譯步驟也和前面所說的相同。當譯碼器編譯成功后進行仿真,仿真的結果見圖3-2-6-1譯碼器的時序仿真。像時序仿真圖中顯示的一樣,當NU瞳入“0000”時LED獨會輸出“00111111”顯示數(shù)字“0,當NUM&入“0001”時LED8俞出“00000110顯示數(shù)字“1”。圖3-2-6-2是譯碼器程序生成的模塊。圖3-2-6-1譯碼器的時序仿真圖圖3-2-6-2譯碼器模塊3.3 圖形設計3.3.1 圖形設計的生成將每個程

16、序都編譯、仿真完成后,下一步就是要設計圖形仿真,在進行圖形的時序仿真前,要先進行圖形的編輯和編譯。要建立一個新工程和圖形編輯的文件的的過程是點擊File-NevwBlockDiagram/SchematicFile.(見圖3-3-1-1)圖3-3-1-1新建一個文件的對話框新建了一個文件以后,就要開始編輯圖形了,要把每一個模塊都找出來,選擇AssignmentfSettings后出現(xiàn)圖3-3-1-2的對話框,在左邊找到libraries,單擊它以后會出現(xiàn)圖3-3-1-2右邊顯示的內容,冉點擊三J處可以找到之前生成的那些模塊所在的文件夾,把它們一次性添加在libraries中,這樣可以為后面圖形

17、設計做好準備,全部添加完成后點擊“OK,這樣在元件庫中就可以找到生成的那些模塊了。選擇EditInsertSymbo后出現(xiàn)圖3-3-1-3的對話框,在這里就可以顯示出上面生成的模塊和軟件中原本存在的元器件,按照設計中的需要選出模塊和元件。圖3-3-1-2libraries對話框圖3-3-1-3元件庫對話框圖3-3-1-4編譯對話框圖3-3-1-4中標明了每個快捷工具的用途,選擇合適的工具把選擇好的模塊和元件連接起來。然后將連接好的圖形文件保存以后進行編譯。3.3.2 仿真結果圖3-3-2-1圖形設計的時序仿真圖圖形設計編譯完成以后按照程序的時序仿真的過程對圖形設計進行時序仿真,給CLK適當?shù)男?/p>

18、號,并且要給輸入值定義正確的值,開始仿真后會出現(xiàn)圖3-3-2-1就是編譯后的時序仿真圖。圖形設計的時序仿真圖中主要顯示的是紅、黃、綠燈之間的亮滅關系和LED8顯示的數(shù)值變化過程,還有位選輸出端的變化,時序圖中可以很容易看出當計時數(shù)計數(shù)到某一個值的時候東西方向和南北方向是什么顏色的指示燈在工作。當A路的綠燈亮時,B路的紅火T亮,A路的綠燈亮20秒后,A路的黃燈亮5秒由此可以很容易的看出指示燈的亮滅是正確的。3.4 管腳的鎖定管腳鎖定是為了為硬件仿真做準備。當所有的設計都完成后,接著要對圖形設計進行管腳的鎖定。先確定設計時確定的器件類型和實際的硬件類型是不是一樣,選擇Assignments-Device,在出現(xiàn)的對話框中認真確定選擇的器件是不是正確的。接下來選t?Assignments-Pins進入圖3-4-1中,用鼠標雙擊Location就可以設定管腳了。圖3-4-2是在這次畢業(yè)設計中使用到的芯片ACEX1K-EP1K100QC208-招3-4-3是完成編譯、時序仿真、管腳鎖定這些步驟后的圖形設計。圖3-4-1管腳鎖定對話框圖3-4-2芯片ACEX1K-EP1K100QC208-3圖3-4-3最終的圖形設計表3-4-1管腳和相應的功能序

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論