基于FPGA的智能溫度控制器_圖文_第1頁
基于FPGA的智能溫度控制器_圖文_第2頁
基于FPGA的智能溫度控制器_圖文_第3頁
基于FPGA的智能溫度控制器_圖文_第4頁
基于FPGA的智能溫度控制器_圖文_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、工業(yè)儀表與自動化裝置年第期基于的智能溫度控制器趙新雨,許忠仁,楊培善,楊立保(遼寧石油化工大學信息工程學院,遼寧撫順)摘要:智能溫度控制器的硬件部分主要由溫度傳感器、放大器、轉換器和控制器等部分構成。在開發(fā)環(huán)境下采用語言實現了智能溫度控制器的數據采集、時鐘定時和溫度控制等模塊,并利用實現相應的功能,經過波形仿真、下載調試,驗證了設計方案的可行性及實現方法的有效性。關鍵詞:;溫度控制中圖分類號:文獻標志碼:文章編號:()¨,掣,(姆曠仰備增“喈以位池踟婦毋,“以帽凡,酰譏):,枷,:;引言即硬件描述語言,是電子設計自動化度傳感器的感測能力是溫度每升高就增加“的電流量,該電流流人電阻后,

2、將產生()的電壓。而(等于)時,輸出電流“,經轉換后,將產生的電壓。如果測到的電壓為茗時,則可由(工一)÷得到要測量的溫度。溫度傳感器的輸()的主要工具,在電子電路設計中被廣泛應用。即現場可編程門陣列,是現代設計的主要邏輯器件。由掩膜可編程門陣列和可編程邏輯器件演變而來,它具有門陣列的高邏輯密度和通用性,還具有可編程邏輯器件的用戶可編程出經過放大器后,將電壓引人的;。()管腳。是位數模轉換器,測量精度為特性??蓾M足不同場合的需要。該文主要利用語言、工具軟件和器件來設計溫度控制器的控制功能,結合溫度傳感器、放大器、模數轉換器及固態(tài)繼電器等實現一個完整的智能溫度控制器。,當的轉換值為算時

3、,所測溫度為(茗×)÷髫×??刂乒δ苡蓪崿F。固態(tài)繼電器主要用來驅動電加熱器。固態(tài)繼電器的優(yōu)點:高壽命,高可靠性,高靈敏度,控制功率小,電磁兼容性好,電磁干擾小。系統(tǒng)的硬件結構溫度控制器的硬件結構如圖所示,溫收稿日期:一作者簡介:趙新雨(),女,遼寧鐵嶺人,碩士。研究方向為技術及應用。圖溫度控制器的硬件結構年第期工業(yè)儀表與自動化裝置功能模塊設計器件設計采用自頂向下的設計方法,主(×)÷的運算,將接收的數據調整為對應的數字信號,在讀取的數據后,先將轉換數據左移位(相當于乘以),然后減去,當溫度達到某一數值時(如),使能信號和清零信號為,此時時鐘開始

4、計時。同時該模塊還用于調整溫度控制的中心值參數,當口時,中心參數加,當時中心參數減。例如當中心值為時,則溫度在之間開始計時,而若輸入值口為,則中心值變?yōu)?,相應的溫度則控制在一之間,若,則中心值下調,變?yōu)?,溫控范圍也隨之變化,變?yōu)橹饕绦蛉缦拢海?,):;要分解為大模塊,最后通過端口映射的方法,完成整體的設計。大功能模塊均用語言編程,也可通過圖形輸入法設計,是系統(tǒng)的核心,系統(tǒng)選用了【公司的系列的芯片,在開發(fā)平臺上,實現大功能模塊:數據采集模塊、時鐘定時模塊及溫度控制模塊。圖所示是該設計的流程圖。()():;調節(jié)溫度參數回():;:;以為參數中心值,中心值的調節(jié)看,的取值;圖流程圖頂層模塊如圖所示。

5、()(一)()中心值上下度的范圍內就開始計時:時鐘定時模塊該模塊主要對溫度起定時作用,如圖所示。墩【一】圖頂層模塊圖數據采集模塊數據采集模塊主要完成溫度的處理問題,實現一生唑圖定時模塊工業(yè)儀表與自動化裝置年第期主要程序如下:(,),:;:;器蜂鳴。當實際輸出溫度小于預輸出溫度的最小值時,輸出信號控制固態(tài)繼電器加熱,主要程序如下:()是參數中心值;撕:;:():;:();:(:;)();:():;:;():;:;仿真設計的語言程序在工具軟件環(huán)境下經過編譯、仿真和調試,再由編程器下載到芯片中,實際測試結果如下。數據處理模塊的功能仿真結果如圖所示,當時,溫度在時開始計時,而當,中心值連續(xù)上調兩次時溫

6、度控制范圍也隨之上跳,仿真結果正確。():;:控制模塊該模塊的輸入信號來自數據處理模塊和時鐘模塊的輸出,如溫度在控制范圍內達到兩小時,則蜂鳴圖數據處理仿真結果控制模塊的功能仿真圖如圖所示。鐘定時模塊、溫度控制模塊。每個模塊都通過了功能仿真和時序仿真,生成了可綜合的網表文件,并下載到(芯片中。仿真和測試的結果表明每個模塊均能準確地完成其邏輯功能。驗證了設計方案的可行性及實驗方法的有效性,具有實際 參考價值。結束語采用溫度傳感器、放大器、轉換器和控制器等構成智能溫度控制器的硬件電路,應用語言設計了溫度控制器的數據處理模塊、時年第期工業(yè)儀表與自動化裝置圖控制模塊仿真結果創(chuàng)新點:將溫度的控制范圍設置為

7、可調值,可通數字電路設計教程北過外部信號來改變,使得非專業(yè)人員無須通過編程便可調控預想得到的溫度。參考文獻:張慶玲,楊勇原理與實踐北京:北京航空航天大學出版社,鄭亞民,董曉舟可編程邏輯器件開發(fā)軟件叭。北京:國防工業(yè)出版社,京:電子工業(yè)出版社,延明,張亦華數字電路技術入門北京:北京郵電大學出版社,孫福玉,楊蘊石基于的溫控定時噴灌系統(tǒng)設計微計算機信息,():陳滿基于的高速可變周期脈沖發(fā)生器的設計與實現國外電子元器件,():一一一卜十(上接第頁)解決方案。()對料斗秤落料門進行改造,更換為兩扇推桿驅動的平面插板,并在安裝時保持一個向下的坡度,減小積煤。定期對料斗倉進行清理,去除倉壁積煤。保證秤架懸空

8、不會發(fā)生掉落。()加強日常巡檢力度,及時發(fā)現問題。經過上述處理后,皮帶秤系統(tǒng)運行正常,滿足精度要求。結論皮帶秤煤量計量系統(tǒng)是一個動態(tài)測量系統(tǒng),影響其精度的因素很多,要針對不同問題,采取相應的解決措施。由于涉及到復雜的機械安裝,所以對一個問題要多觀察,多實驗,切實找準根本原因。參考文獻:張本賢熱工控制與運行北京:中國電力出版社。吳永生熱工測量及儀表北京:中國電力出版 社。()在進行皮帶秤校驗工作時,與運行人員聯系停碎煤機,最大程度減小料斗秤受到的震動影響。()料斗秤落料門尺寸設計要合適,不能過短導致合攏不嚴。并須精確調整料斗秤落料門關到位行程開關的安裝位置,確保料門關閉嚴密,無漏煤現象。()在稱

9、重傳感器供橋電壓輸出端并接濾波電容減小紋波干擾。在重量信號線間并接大容量電容消除線間干擾。()更換原不合格螺桿,換成高強度耐磨螺桿, 基于FPGA的智能溫度控制器作者:作者單位:刊名:英文刊名:年,卷(期:被引用次數:趙新雨, 許忠仁, 楊培善, 楊立保, ZHAO Xinyu, XU Zhongren, YANG Peishan,YANG Libao遼寧石油化工大學,信息工程學院,遼寧,撫順,113001工業(yè)儀表與自動化裝置INDUSTRIAL INSTRUMENTATION & AUTOMATION2009(11次參考文獻(6條1. 陳滿 基于FPGA的高速可變周期脈沖發(fā)生器的設計與實現期刊論文-國外電子元器件 2007(032. 孫福玉;楊蘊石 基于FPGA的溫控定時噴灌系統(tǒng)設計期刊論文-微計算機信息 2007(233. 延明;張亦華 數字電路EDA技術入門 20064. Volnei A

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論