單片機(jī)開(kāi)發(fā) 課程設(shè)計(jì) 數(shù)字鐘_圖文_第1頁(yè)
單片機(jī)開(kāi)發(fā) 課程設(shè)計(jì) 數(shù)字鐘_圖文_第2頁(yè)
單片機(jī)開(kāi)發(fā) 課程設(shè)計(jì) 數(shù)字鐘_圖文_第3頁(yè)
單片機(jī)開(kāi)發(fā) 課程設(shè)計(jì) 數(shù)字鐘_圖文_第4頁(yè)
單片機(jī)開(kāi)發(fā) 課程設(shè)計(jì) 數(shù)字鐘_圖文_第5頁(yè)
已閱讀5頁(yè),還剩33頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、廣州大學(xué)松田學(xué)院課程設(shè)計(jì)說(shuō)明書(shū)題目基于AT89C52單片機(jī)的數(shù)字電子鐘姓名李廷順專(zhuān)業(yè)電子信息工程班級(jí)06計(jì)控系(部信息科學(xué)與工程指導(dǎo)教師汪全2009年 6月 6日廣州大學(xué)松田學(xué)院?jiǎn)纹瑱C(jī)課程設(shè)計(jì)、課程(學(xué)年論文任務(wù)書(shū)課程編號(hào)課內(nèi)/外周數(shù)(學(xué)時(shí)數(shù)1周指導(dǎo)地點(diǎn) 1-201 集中分散. 注:本表打印,一式三份,學(xué)生個(gè)人、系(部(歸檔、教務(wù)處(備案各一份基于AT89C51單片機(jī)的數(shù)字電子鐘摘要本設(shè)計(jì)是基于單片機(jī)的定時(shí)鬧鐘設(shè)計(jì)。單片機(jī)是在一塊半導(dǎo)體芯片上集成了CPU、存儲(chǔ)器以及輸入和輸出接口電路的微型計(jì)算機(jī);其集成度高、功能強(qiáng)、通用性好,特別是它具有體積小、重量輕、能耗低、價(jià)格便宜、抗擾、能力強(qiáng)和使用方便

2、等特點(diǎn)。它不僅僅是一項(xiàng)技術(shù)性上的突破,同時(shí)也是對(duì)能源方面的有效節(jié)約與有效利用,因此深受?chē)?guó)家有關(guān)技術(shù)部門(mén)和能源部門(mén)的重視和支持。其組合而成的配件產(chǎn)品在日常生活的使用過(guò)程中非常方便、簡(jiǎn)單且實(shí)用,深受著廣大消費(fèi)者的喜愛(ài),從而使單片機(jī)技術(shù)在社會(huì)中得到了廣泛的發(fā)展和應(yīng)用。目前,已成為測(cè)量控制應(yīng)用系統(tǒng)中的優(yōu)選機(jī)種和新電子產(chǎn)品的關(guān)鍵部件。特別是20世紀(jì)70年代問(wèn)世以來(lái),以其極高的性能價(jià)格比,受到人們的重視和關(guān)注,應(yīng)用很廣、發(fā)展很快,推廣率高,市場(chǎng)利潤(rùn)率高。而51單片機(jī)是各單片機(jī)中最為典型和最有代表性的一種。Key: XXXXX目錄1 概述 (11.1設(shè)計(jì)意義 (11.2設(shè)計(jì)任務(wù) (11.3設(shè)計(jì)系統(tǒng)的主要功能

3、 (12 系統(tǒng)總體方案及硬件設(shè)計(jì) (22.1系統(tǒng)總體方案 (22.2系統(tǒng)設(shè)計(jì)方框圖 (22.3硬件設(shè)計(jì) (23 軟件設(shè)計(jì) (93.1程序流程圖 (93.2系統(tǒng)的軟件構(gòu)成及功能 (104 課程設(shè)計(jì)體會(huì) (12參考文獻(xiàn) (12附1:系統(tǒng)原理圖 . 錯(cuò)誤!未定義書(shū)簽。附2:源程序代碼 (141 概述1.1設(shè)計(jì)目的本設(shè)計(jì)是一個(gè)定時(shí)鬧鐘,它使用單片的40引腳單片機(jī)完成鬧鐘的全部功能。設(shè)計(jì)目的是為了學(xué)習(xí)和鞏固單片機(jī)知識(shí),使對(duì)已學(xué)過(guò)的基礎(chǔ)知識(shí)能有更深入的理解,學(xué)會(huì)獨(dú)立思考、獨(dú)立思考、獨(dú)立工作,以及提高對(duì)所學(xué)應(yīng)用基本理論分析和解決實(shí)際問(wèn)題的能力。1.2設(shè)計(jì)任務(wù)本文設(shè)計(jì)的定時(shí)鬧鐘采用AT89C52芯片,用匯編語(yǔ)

4、言進(jìn)行編程,時(shí)、分、秒用6位LED數(shù)碼管顯示。在電路中通過(guò)P2.4按鍵進(jìn)行定時(shí)和調(diào)時(shí),P2.5按鍵決定鬧鐘的開(kāi)關(guān),定時(shí)時(shí)間到通過(guò)喇叭發(fā)出報(bào)警聲并在一定時(shí)間后自動(dòng)關(guān)閉。1.3設(shè)計(jì)系統(tǒng)的主要功能·能夠設(shè)置修改時(shí)鐘時(shí)間、修改鬧鐘時(shí)間。·修改時(shí)間時(shí)相應(yīng)位置以閃爍作為標(biāo)志·開(kāi)鬧鐘時(shí),到定時(shí)時(shí)間能發(fā)出報(bào)警聲并自動(dòng)關(guān)閉或手動(dòng)關(guān)閉。2 系統(tǒng)總體方案及硬件設(shè)計(jì)2.1 系統(tǒng)總體方案1由于要顯示數(shù)字時(shí)間,所以需要6位數(shù)碼管。2時(shí)間的定時(shí)用時(shí)鐘電路,修改時(shí)間和定時(shí)用手動(dòng)按鍵控制,報(bào)警聲通過(guò)喇叭發(fā)出。3AT89C51單片機(jī)加上外圍器件(數(shù)字顯示器7SEG-MPX6-CC-BLUE, RES

5、PACK-8排阻和BUZZER蜂鳴器和應(yīng)用程序(STC-ISP V38A軟件和Keil uVision2編譯軟件,構(gòu)成相應(yīng)的應(yīng)用系統(tǒng)。2.2系統(tǒng)設(shè)計(jì)方框圖 圖12.3硬件設(shè)計(jì)AT89C52是一種帶16K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROMFalsh Programmable and Erasable Read Only Memory的低電壓,可穩(wěn)定地工作于5V的電源下.該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C52是一種高效微控制器.AT89C52管腳說(shuō)明

6、:VCC:供電電壓。GND:接地。P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收8TTL門(mén)電流。當(dāng)P1口的管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門(mén)電流。P1口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH 編程和校驗(yàn)時(shí),P1口作為第八位地址接收。P2口:P2口為

7、一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門(mén)電流。當(dāng)P3口寫(xiě)入“1”后,它們被內(nèi)部上拉為高電

8、平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL這是由于上拉的緣故。 P3口也可作為AT89C52的一些特殊功能口,如下表所示:口管腳備選功能P3.0 RXD(串行輸入口P3.1 TXD(串行輸出口P3.2 /INT0(外部中斷0P3.3 /INT1(外部中斷1P3.4 T0(記時(shí)器0外部輸入P3.5 T1(記時(shí)器1外部輸入P3.6 /WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通P3.7 /RD(外部數(shù)據(jù)存儲(chǔ)器讀選通P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允

9、許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí), ALE 只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無(wú)效。/PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信

10、號(hào)將不出現(xiàn)。/EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH,不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 XTAL2:來(lái)自反向振蕩器的輸出。如圖2所示圖2由P3.3口控制蜂鳴器,使其定時(shí)時(shí)間到能發(fā)出報(bào)警聲。如圖3所示Array圖3單片機(jī)的時(shí)鐘產(chǎn)生方法有兩種:內(nèi)部時(shí)鐘方式和外部時(shí)鐘方式。本系統(tǒng)中AT89C51單片機(jī)采用內(nèi)部時(shí)鐘方式。最常用的內(nèi)部時(shí)鐘方式是采用外接晶體

11、和電容組成的并聯(lián)諧振回路。振蕩晶體可在1.2MHz12MHz之間。電容值無(wú)嚴(yán)格要求,但電容取值對(duì)振蕩頻率輸出的穩(wěn)定性、大小和振蕩電路起振速度有少許影響,一般可在20pF100pF之間取值。AT98C51單片機(jī)的時(shí)鐘電路。如圖4所示 圖4利用八位LED數(shù)碼管的1-8引腳連接AT89C52單片機(jī) P1.0 P1.7接口,其他8個(gè)引腳分別與AT89C51單片機(jī)的P0.0 - P0.7和共陽(yáng)極管RESPACK-8排阻的 2 - 9 引腳分別連接。單片機(jī)中通常使用7段LED,LED是發(fā)光二極管顯示器的縮寫(xiě)。LED顯示器由于結(jié)構(gòu)簡(jiǎn)單,價(jià)格便宜,體積小,亮度高,電壓低,可靠性高,壽命長(zhǎng),響應(yīng)速度快,顏色鮮艷

12、,配置靈活,與單片機(jī)接口方便而得到廣泛應(yīng)用。LED顯示器是由若干個(gè)發(fā)光二極管組成顯示字段的顯示部件,當(dāng)發(fā)光二極管導(dǎo)通時(shí),相應(yīng)的一個(gè)點(diǎn)或一個(gè)筆劃發(fā)光,控制不同組合的二極管導(dǎo)通,就能顯示出各種字符。LED 顯示器有多種形式,如:“米”字型顯示器,點(diǎn)陣顯示器和七段數(shù)碼顯示器等,在單片機(jī)系統(tǒng)中使用最多的是七段數(shù)碼顯示器。LED有共陰極和共陽(yáng)極兩種。如圖5所示。二極管的陰極連接在一起,通常此公共陰極接地,而共陽(yáng)極則將發(fā)光二極管的陽(yáng)極連接在一起,接入+5V的電壓。一位顯示器由8個(gè)發(fā)光二極管組成,其中7個(gè)發(fā)光二極管構(gòu)成字型“8”的各個(gè)筆劃(段ag,另一個(gè)小數(shù)點(diǎn)為dp發(fā)光二極管。當(dāng)在某段發(fā)光二極管施加一定的正

13、向電壓時(shí),該段筆劃即亮;不加電壓則暗。為了保護(hù)各段LED不被損壞,需外加限流電阻。 圖5 LED數(shù)碼管結(jié)構(gòu)原理圖眾所周知,LED顯示數(shù)碼管通常由硬件7段譯碼集成電路,完成從數(shù)字到顯示碼的譯碼驅(qū)動(dòng)。本系統(tǒng)采用軟件譯碼,以減小體積,降低成本和功耗,軟件譯碼的另一優(yōu)勢(shì)還在于比硬件譯碼有更大的靈活性。所謂軟件譯碼,即由單片機(jī)軟件完成從數(shù)字到顯示碼的轉(zhuǎn)換。從LED數(shù)碼管結(jié)構(gòu)原理可知,為了顯示字符,要為L(zhǎng)ED顯示數(shù)碼管提供顯示段碼,組成一個(gè)“8”字形字符的7段,再加上1個(gè)小數(shù)點(diǎn)位,共計(jì)8段,因此提供給LED數(shù)碼管的顯示段碼為1個(gè)字節(jié)。各段碼位與顯示段的對(duì)應(yīng)關(guān)系如表1。表1 各段碼位的對(duì)應(yīng)關(guān)系 需說(shuō)明的是當(dāng)

14、用數(shù)據(jù)口連接LED數(shù)碼管adp引腳時(shí),不同的連接方法,各段碼位與顯示段有不同的對(duì)應(yīng)關(guān)系。通常數(shù)據(jù)口的D0位與a段連接,D1位與b 段連接,D7位與dp段連接,如表1所示,表2為用于LED數(shù)碼管顯示的十六進(jìn)制數(shù)和空白字符與P的顯示段碼。表2 LED顯示段碼 注:(1本表所列各字符的顯示段碼均為小數(shù)點(diǎn)不亮的情況。(2“空白”字符即沒(méi)有任何顯示。根據(jù)AT89C2051單片機(jī)灌電流能力強(qiáng),拉電流能力弱的特點(diǎn),我們選用共陽(yáng)數(shù)碼管。將AT89C2051的P1.0P1.7分別與共陽(yáng)數(shù)碼管的ag及dp相連,高電平的位對(duì)應(yīng)的LED數(shù)碼管的段暗,低電平的位對(duì)應(yīng)的LED數(shù)碼管的段亮,這樣,當(dāng)P0口輸出不同的段碼,就

15、可以控制數(shù)碼管顯示不同的字符。例如:當(dāng)P0口輸出的段碼為1100 0000,數(shù)碼管顯示的字符為0。數(shù)碼管顯示器有二種工作方式,即靜態(tài)顯示方式和動(dòng)態(tài)掃描顯示方式。為節(jié)省端口及降低功耗,本系統(tǒng)采用動(dòng)態(tài)掃描顯示方式。動(dòng)態(tài)掃描顯示方式需解決多位LED數(shù)碼管的“段控”和“位控”問(wèn)題,本電路的“段控”(即要顯示的段碼的控制通過(guò)P0口實(shí)現(xiàn);而每一位的公共端,即LED數(shù)碼管的“位控”,則由P3口控制。這種連接方式由于多位字段線連在一起,因此,要想顯示不同的內(nèi)容,必然要采取輪流顯示的方式,即在某一瞬間,只讓其中的某一位的字位線處于選通狀態(tài),其它各位的字位線處于斷開(kāi)狀態(tài),同時(shí)字段線上輸出這一位相應(yīng)要顯示字符的字段

16、碼。在這一瞬時(shí),只有這一位在顯示,其他幾位則暗。在本系統(tǒng)中,字位線的選通與否是通過(guò)PNP三極管的導(dǎo)通與截止來(lái)控制,即三極管處于“開(kāi)關(guān)”狀態(tài)。系統(tǒng)的時(shí)分顯示部件由6只7段共陽(yáng)LED數(shù)碼管構(gòu)成。值得一提的是,在設(shè)計(jì)中需要實(shí)現(xiàn)時(shí),分,秒之間的間隔,以及鬧鐘開(kāi)關(guān)的標(biāo)識(shí),故在相應(yīng)的位置均 加上了dp顯示。如圖6所示 圖63 軟件設(shè)計(jì) 主程序流程圖(圖7 中斷子程序流程圖(圖83.2 系統(tǒng)的軟件構(gòu)成及功能本系統(tǒng)的軟件系統(tǒng)在程序設(shè)計(jì)過(guò)程中,加入了時(shí)間調(diào)整位閃爍標(biāo)識(shí)和鬧鐘開(kāi)關(guān)。程序優(yōu)化解決了按鍵時(shí)LED顯示的不穩(wěn)定,以及在定鬧時(shí)間到達(dá)后蜂鳴器警報(bào)時(shí)LED不顯示的問(wèn)題。根據(jù)設(shè)計(jì)要求,定時(shí)鬧鐘程序可分為以下幾個(gè)模

17、塊:3.2 .1 顯示時(shí)間,鬧鐘時(shí)間的設(shè)置主程序的功能是完成系統(tǒng)的初始化,調(diào)用時(shí)間顯示子程序,將時(shí)間的時(shí)分秒發(fā)送顯示,當(dāng)按下P2.4大于1秒時(shí),相應(yīng)的時(shí)鐘/鬧鐘調(diào)整位閃爍及退出,當(dāng)按下P2.4小于1秒時(shí),時(shí)鐘顯示子程序跳到鬧鐘顯示子程序及時(shí)針,分針位加一3.2 .2 中斷程序設(shè)計(jì)中斷程序(如圖8所示完成時(shí)間計(jì)數(shù),時(shí)間調(diào)整,等功能。中斷采用AT89C52內(nèi)部T0中斷實(shí)現(xiàn),定時(shí)時(shí)間為50ms,當(dāng)時(shí)間到達(dá)50ms×20,即1秒鐘時(shí),秒計(jì)數(shù)增加1,1分鐘時(shí),分計(jì)數(shù)增加1,到達(dá)1小時(shí),則時(shí)計(jì)數(shù)增加1,并將秒、分、時(shí)的個(gè)位、十位放入顯示緩沖器。當(dāng)秒、分、時(shí)計(jì)數(shù)緩沖器分別到達(dá)60sec 、60mi

18、n、24h時(shí),則對(duì)它們清零,以便從新計(jì)數(shù)。3.2 .3 定時(shí)時(shí)間的響應(yīng)及關(guān)閉在時(shí)間顯示子程序調(diào)用顯示后調(diào)用鬧鐘服務(wù)子程序,檢測(cè)鬧鐘開(kāi)關(guān)狀態(tài)以及是否到達(dá)定鬧時(shí)間,若到達(dá),則開(kāi)蜂鳴器,調(diào)用5s延時(shí)子程序后自動(dòng)關(guān)閉蜂鳴器5 課程設(shè)計(jì)體會(huì)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn)、提出、分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程。隨著科學(xué)技術(shù)發(fā)展的日新日異,單片機(jī)已經(jīng)成為當(dāng)今計(jì)算機(jī)應(yīng)用中空前活躍的領(lǐng)域,在生活中可以說(shuō)得是無(wú)處不在,作為二十一世紀(jì)的大學(xué)生來(lái)說(shuō)掌握單片機(jī)的開(kāi)發(fā)技術(shù)是十分重要的。通過(guò)本次單片機(jī)原理及應(yīng)用課程設(shè)計(jì)使我充分認(rèn)認(rèn)到了課程設(shè)計(jì)的理要性和必要性,

19、本次設(shè)計(jì)使我對(duì)已學(xué)過(guò)的基礎(chǔ)知識(shí)有了更深入的理解,學(xué)會(huì)了獨(dú)立思考、獨(dú)立工作以及對(duì)應(yīng)用所學(xué)基本理論分析和解決實(shí)際問(wèn)題的能力有了很大的提高。另外,本次設(shè)計(jì)使我的實(shí)際操作技能得到了訓(xùn)練,同時(shí)也進(jìn)一步培養(yǎng)了我嚴(yán)謹(jǐn)?shù)目茖W(xué)作風(fēng)?;仡櫰鸫舜螁纹瑱C(jī)課程設(shè)計(jì),從選題到定稿,從理論到實(shí)踐,在整整一星期的日子里,可以說(shuō)得是苦多于甜,但是從中卻學(xué)到很多很多的的東西,不但鞏固了以前所學(xué)過(guò)的知識(shí),而且對(duì)單片機(jī)原理課外知識(shí)也的到了拓展。做的過(guò)程中,開(kāi)始的確遇到了不少困難的問(wèn)題,比如說(shuō)芯片管腳不熟悉怎么放置,對(duì)單片機(jī)匯編語(yǔ)言掌握得不好等等,同時(shí)在這過(guò)程中也發(fā)現(xiàn)了自己的許多不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢

20、固。通過(guò)單片機(jī)課程設(shè)計(jì)之后,我不僅加深了對(duì)單片機(jī)理論的理解,將理論很好地應(yīng)用到實(shí)際當(dāng)中去,而且我們還學(xué)會(huì)了如何去培養(yǎng)創(chuàng)新精神和嚴(yán)謹(jǐn)?shù)目茖W(xué)作風(fēng),從而不斷地戰(zhàn)勝自己,超越自己。更重要的是,我在這一設(shè)計(jì)過(guò)程中,學(xué)會(huì)了堅(jiān)持不懈,不輕易言棄。參考文獻(xiàn)1 .李朝青.單片機(jī)原理及接口技術(shù)(修訂版.北京:北京航空航天大學(xué)出版社,19982. 楊欣.51單片機(jī)應(yīng)用從零開(kāi)始. 北京:清華大學(xué)出版社,20084. 譚浩強(qiáng).單片機(jī)課程設(shè)計(jì). 北京:清華大學(xué)出版社,19895. 余發(fā)山主編,單片機(jī)原理及應(yīng)用技術(shù),中國(guó)礦業(yè)大學(xué)出版社2003.12參考電路原理圖 數(shù)字電子鐘參考程序清單; AT89C52時(shí)鐘定鬧程序 ; ;

21、 定時(shí)器T0、T1溢出周期為50MS,T0為秒計(jì)數(shù)用, T1為調(diào)整時(shí)閃爍用,; P2.4為調(diào)整按鈕,P0口為段顯輸出口,P1口為位顯輸出口,采用共陽(yáng)顯示管。;部分寄存器定義說(shuō)明:;00H 時(shí)鐘調(diào)分閃動(dòng)標(biāo)志;01H 時(shí)鐘調(diào)時(shí)閃動(dòng)標(biāo)志70H-75H 位顯緩存寄存器;02H 閃爍標(biāo)志 76H-79H 時(shí)鐘顯示寄存器;03H 鬧鐘識(shí)別標(biāo)志 7AH 熄滅符寄存器;04H 鬧鐘調(diào)分閃動(dòng)標(biāo)志6AH-6FH 時(shí)鐘顯示寄存器;05H 鬧鐘調(diào)時(shí)閃動(dòng)標(biāo)志;ORG 0000H ;程序執(zhí)行開(kāi)始地址LJMP START ;跳到標(biāo)號(hào)START執(zhí)行ORG 000BH ;定時(shí)器T0中斷程序入口LJMP INTT0 ;跳至INT

22、TO執(zhí)行ORG 001BH ;定時(shí)器T1中斷程序入口LJMP INTT1 ;跳至INTT1執(zhí)行ORG 0100H ;鬧鐘執(zhí)行開(kāi)始地址LJMP ALARM; 主程序 ;START:MOV 70H,#05H ;初始化時(shí)鐘秒針個(gè)位MOV 71H,#05H ;初始化時(shí)鐘秒針十位MOV 76H,#08H ;初始化時(shí)鐘分針個(gè)位MOV 77H,#05H ;初始化時(shí)鐘分針十位MOV 78H,#03H ;初始化時(shí)鐘時(shí)針個(gè)位MOV 79H,#02H ;初始化時(shí)鐘時(shí)針十位MOV 7AH,#0AH ;放入第十位"熄滅符"數(shù)據(jù),使閃爍熄滅時(shí)不顯示任何數(shù)據(jù)MOV 6AH,#00H ;初始化鬧鐘秒針個(gè)位

23、MOV 6BH,#00H ;初始化鬧鐘秒針十位MOV 6CH,#09H ;初始化鬧鐘分針個(gè)位MOV 6DH,#05H ;初始化鬧鐘分針十位MOV 6EH,#03H ;初始化鬧鐘時(shí)針個(gè)位MOV 6FH,#02H ;初始化鬧鐘時(shí)針十位MOV TMOD,#11H ;設(shè)T0、T1為16位定時(shí)器MOV TCON,#00000101B ;開(kāi)T0、T1中斷MOV TL0,#0B0H ;50MS定時(shí)初值(T0計(jì)時(shí)用MOV TH0,#3CH ;50MS定時(shí)初值MOV TL1,#0B0H ;50MS定時(shí)初值(T1閃爍定時(shí)用MOV TH1,#3CH ;50MS定時(shí)初值SETB EA ;總中斷開(kāi)放SETB ET0 ;

24、允許T0中斷SETB TR0 ;開(kāi)啟T0定時(shí)器SETB P3.3 ;關(guān)蜂鳴器MOV R4,#14H ;1秒定時(shí)用初值(50MS×20START1:LCALL DISPLAY ;調(diào)用顯示子程序LCALL ALARM ;調(diào)用檢測(cè)是否開(kāi)鬧鐘JNB P2.4,SETMM1 ;P2.4口為0時(shí)轉(zhuǎn)時(shí)間調(diào)整程序SJMP START1 ;P2.4口為1時(shí)跳回START1SETMM1:LJMP SETMM ;轉(zhuǎn)到時(shí)間調(diào)整程序SETMM;鬧鐘服務(wù)子程序程序; ;ALARM:LJMP ALARMKG ;檢測(cè)鬧鐘開(kāi)關(guān)狀態(tài)ALARM_BJ:MOV A,6FH ;檢測(cè)是否到鬧鐘時(shí)間CJNE A,79H,A_FH

25、MOV A,6EHCJNE A,78H,A_FHMOV A,6DHCJNE A,77H,A_FHMOV A,6CHCJNE A,76H,A_FHMOV A,#0CJNE A,71H,A_FHMOV A,#0CJNE A,70H,A_FHMOV 60H,#5 ;蜂鳴器定時(shí)5sCLR P3.3 ;開(kāi)蜂鳴器A_FH1:LCALL DL_BEEP ;調(diào)用蜂鳴器延時(shí)子程序JB P2.5,A_FH2DJNZ 60H,A_FH1 ;若還沒(méi)到5s,則繼續(xù)蜂鳴A_FH2:SETB P3.3 ;關(guān)蜂鳴器A_FH:RET;鬧鐘開(kāi)關(guān)標(biāo)志;ALARMKG: ;檢測(cè)蜂鳴器開(kāi)關(guān)狀態(tài)JNB P2.5,KGAJMP A_FH2

26、KG:MOV DPTR,#TAB ;蜂鳴器開(kāi)則加dp標(biāo)志MOV A,#0AHMOVC A,A+DPTRCLR ACC.7MOV P0,AMOV p1,#11101111BLCALL DL1MSMOV p1,#0FFHAJMP ALARM_BJ; 1秒計(jì)時(shí)子程序 ; ;T0中斷服務(wù)程序;INTT0:PUSH ACC ;累加器入棧保護(hù)PUSH PSW ;狀態(tài)字入棧保護(hù)CLR ET0 ;關(guān)T0中斷允許CLR TR0 ;關(guān)閉定時(shí)器T0MOV A,#0B0H ;中斷響應(yīng)時(shí)間同步修正ADD A,TL0 ;低8位初值修正MOV TL0,A ;重裝初值(低8位修正值MOV A,#3CH ;高8位初值修正ADD

27、C A,TH0 ;MOV TH0,A ;重裝初值(高8位修正值SETB TR0 ;開(kāi)啟定時(shí)器T0DJNZ R4, OUTT0 ;20次中斷未到中斷退出ADDSS:MOV R4,#14H ;20次中斷到(1秒重賦初值MOV R0,#71H ;指向秒計(jì)時(shí)單元(70H-71HACALL ADD1 ;調(diào)用加1程序(加1秒操作MOV A,R3 ;秒數(shù)據(jù)放入A(R3為2位十進(jìn)制數(shù)組合CLR C ;清進(jìn)位標(biāo)志(作為是否滿60的標(biāo)志CJNE A,#60H,ADDMM ;若滿60,則轉(zhuǎn)到分加一程序ADDMM:JC OUTT0 ;小于60秒時(shí)中斷退出,繼續(xù)計(jì)數(shù)ACALL CLR0 ;大于或等于60秒時(shí)對(duì)秒計(jì)時(shí)單元

28、清0MOV R0,#77H ;指向分計(jì)時(shí)單元(76H-77HACALL ADD1 ;分計(jì)時(shí)單元加1分鐘MOV A,R3 ;分?jǐn)?shù)據(jù)放入ACLR C ;清進(jìn)位標(biāo)志(作為是否滿60的標(biāo)志CJNE A,#60H,ADDHH ;ADDHH:JC OUTT0 ;小于60分時(shí)中斷退出,繼續(xù)計(jì)數(shù)ACALL CLR0 ;大于或等于60分時(shí)分計(jì)時(shí)單元清0MOV R0,#79H ;指向小時(shí)計(jì)時(shí)單元(78H-79HACALL ADD1 ;小時(shí)計(jì)時(shí)單元加1小時(shí)MOV A,R3 ;時(shí)數(shù)據(jù)放入ACLR C ;清進(jìn)位標(biāo)志(作為是否滿24的標(biāo)志CJNE A,#24H,HOUR ;HOUR:JC OUTT0 ;小于24小時(shí)中斷退

29、出ACALL CLR0 ;大于或等于24小時(shí)小時(shí)計(jì)時(shí)單元清0OUTT0:MOV 72H,76H ;中斷退出時(shí)將分、時(shí)計(jì)時(shí)單元數(shù)據(jù)移MOV 73H,77H ;入對(duì)應(yīng)顯示單元MOV 74H,78HMOV 75H,79HPOP PSW ;恢復(fù)狀態(tài)字(出棧POP ACC ;恢復(fù)累加器SETB ET0 ;開(kāi)放T0中斷RETI ;中斷返回; 閃動(dòng)調(diào)時(shí)子程序 ; ;T1中斷服務(wù)程序,用作時(shí)間調(diào)整時(shí)調(diào)整單元閃爍指示;INTT1:PUSH ACC ;中斷現(xiàn)場(chǎng)保護(hù)PUSH PSWMOV TL1, #0B0H ;裝定時(shí)器T1定時(shí)初值MOV TH1, #3CHDJNZ R2,INTT1OUT ;0.3秒未到退出中斷(

30、50MS中斷6次MOV R2,#06H ;重裝0.3秒定時(shí)用初值JB 03H,A_DIS ;鬧鐘識(shí)別標(biāo)志,若處于鬧鐘狀態(tài)下則跳轉(zhuǎn)CPL 02H ;0.3秒定時(shí)到對(duì)閃爍標(biāo)志取反JB 02H,FLASH1 ;02H位為1時(shí)顯示單元"熄滅"MOV 72H,76H ;02H位為0時(shí)正常顯示MOV 73H,77HMOV 74H,78HMOV 75H,79HAJMP INTT1OUTA_DIS:CPL 02H ;0.3秒定時(shí)到對(duì)閃爍標(biāo)志取反 JB 02H,FLASH1_A ;02H位為1時(shí)顯示單元"熄滅"MOV 70H,#00H ;02H位為0時(shí)正常顯示MOV 71

31、H,#00HMOV 72H,6CHMOV 73H,6DHMOV 74H,6EHMOV 75H,6FHAJMP INTT1OUTINTT1OUT:POP PSW ;恢復(fù)現(xiàn)場(chǎng)POP ACC ;RETI ;中斷退出FLASH1:JB 01H,FLASH2 ;01H位為1時(shí),轉(zhuǎn)小時(shí)熄滅控制MOV 72H,7AH ;01H位為0時(shí),"熄滅符"數(shù)據(jù)放入分MOV 73H,7AH ;顯示單元(72H-73H,將不顯示分?jǐn)?shù)據(jù)MOV 74H,78HMOV 75H,79HAJMP INTT1OUT ;轉(zhuǎn)中斷退出FLASH1_A:JB 05H,FLASH2_A ;05H位為1時(shí),轉(zhuǎn)小時(shí)熄滅控制;M

32、OV 70H,#00H;MOV 71H,#00HMOV 72H,7AH ;04H位為0時(shí),"熄滅符"數(shù)據(jù)放入分MOV 73H,7AH ;顯示單元(72H-73H,將不顯示分?jǐn)?shù)據(jù)MOV 74H,6EHMOV 75H,6FHAJMP INTT1OUT ;轉(zhuǎn)中斷退出FLASH2:MOV 72H,76H ;01H位為1時(shí),"熄滅符"數(shù)據(jù)放入小時(shí)MOV 73H,77H ;顯示單元(74H-75H,小時(shí)數(shù)據(jù)將不顯示MOV 74H,7AHMOV 75H,7AHAJMP INTT1OUT ;轉(zhuǎn)中斷退出FLASH2_A:;MOV 70H,#00H;MOV 71H,#00H

33、MOV 72H,6CHMOV 73H,6DHMOV 74H,7AHMOV 75H,7AHAJMP INTT1OUT ;轉(zhuǎn)中斷退出; 加1子程序 ;ADD1:MOV A,R0 ;取當(dāng)前計(jì)時(shí)單元數(shù)據(jù)到ADEC R0 ;指向前一地址SWAP A ;A中數(shù)據(jù)高四位與低四位交換ORL A,R0 ;前一地址中數(shù)據(jù)放入A中低四位ADD A,#01H ;A加1操作DA A ;十進(jìn)制調(diào)整MOV R3,A ;移入R3寄存器ANL A,#0FH ;高四位變0MOV R0,A ;放回前一地址單元MOV A,R3 ;取回R3中暫存數(shù)據(jù)INC R0 ;指向當(dāng)前地址單元SWAP A ;A中數(shù)據(jù)高四位與低四位交換ANL A,

34、#0FH ;高四位變0MOV R0,A ;數(shù)據(jù)放入當(dāng)削地址單元中RET ;子程序返回; 清零子程序 ; ;對(duì)計(jì)時(shí)單元復(fù)零用;CLR0:CLR A ;清累加器MOV R0,A ;清當(dāng)前地址單元DEC R0 ;指向前一地址MOV R0,A ;前一地址單元清0RET ;子程序返回; 時(shí)鐘調(diào)整子程序 ; ;當(dāng)調(diào)時(shí)按鍵按下時(shí)進(jìn)入此程序;SETMM:cLR ET0 ;關(guān)定時(shí)器T0中斷CLR TR0 ;關(guān)閉定時(shí)器T0LCALL DL1S ;調(diào)用1秒延時(shí)程序JB P2.4,ALARMDIS ;鍵按下時(shí)間小于1秒,轉(zhuǎn)到鬧鐘顯示CLR 03H ;清鬧鐘識(shí)別標(biāo)志MOV R2,#06H ;進(jìn)入調(diào)時(shí)狀態(tài),賦閃爍定時(shí)初值

35、SETB ET1 ;允許T1中斷SETB TR1 ;開(kāi)啟定時(shí)器T1,閃爍LJMP SET2;ALARMDIS:SETB ET0 ;開(kāi)T0中斷SETB TR0 ;開(kāi)啟T0定時(shí)器(開(kāi)時(shí)鐘,鬧鐘狀態(tài)不干擾時(shí)鐘LCALL ALARMDISPLAY ;JB P2.4,ALARMDIS ;無(wú)按鍵按下,等待。LCALL ALARMDISPLAY ;有鍵按下,調(diào)鬧鐘顯示子程序延時(shí)削抖JB P2.4,ALARMDIS ;是干擾返回ALARMDIS等待WAITH:LCALL DL1S ;調(diào)用1秒延時(shí)程序JNB P2.4,SETMM_A ;鍵按下時(shí)間大于1秒,跳轉(zhuǎn)到鬧鐘調(diào)時(shí)子程序LJMP START1;時(shí)鐘調(diào)分針;

36、SET2:JNB P2.4,SET1 ;P2.4口為0(鍵未釋放,等待SETB 00H ;鍵釋放,分調(diào)整閃爍標(biāo)志置1SET4:JB P2.4,SET3 ;等待鍵按下LCALL DL1S ;有鍵按下,延時(shí)1秒JNB P2.4,SETHH ;按下時(shí)間大于1秒轉(zhuǎn)調(diào)小時(shí)狀態(tài)MOV R0,#77H ;按下時(shí)間小于1秒加1分鐘操作MOV 70H,#00H ;若分針值改變,則秒回歸00MOV 71H,#00HLCALL ADD1 ;調(diào)用加1子程序MOV A,R3 ;取調(diào)整單元返回的數(shù)據(jù)CLR C ;清進(jìn)位標(biāo)志CJNE A,#60H,HHH ;調(diào)整單元數(shù)據(jù)與60比較HHH:JC SET4 ;調(diào)整單元數(shù)據(jù)小于6

37、0轉(zhuǎn)SET4循環(huán)LCALL CLR0 ;調(diào)整單元數(shù)據(jù)大于或等于60時(shí)清0CLR C ;清進(jìn)位標(biāo)志AJMP SET4 ;跳轉(zhuǎn)到SET4循環(huán);時(shí)鐘調(diào)時(shí)針;SETHH:CLR 00H ;分閃爍標(biāo)志清除(進(jìn)入調(diào)小時(shí)狀態(tài)SETHH1:JNB P2.4,SET5 ;等待鍵釋放SETB 01H ;小時(shí)調(diào)整標(biāo)志置1SET6:JB P2.4,SET7 ;等待按鍵按下LCALL DL1SJNB P2.4,SETOUT ;按下時(shí)間大于0.5秒退出時(shí)間調(diào)整MOV R0,#79H ;按下時(shí)間小于0.5秒加1小時(shí)操作LCALL ADD1 ;調(diào)加1子程序MOV A,R3 ;取調(diào)整單元返回的數(shù)據(jù)CLR CCJNE A,#24

38、H,HOUU ;計(jì)時(shí)單元數(shù)據(jù)與24比較HOUU:JC SET6 ;小于24轉(zhuǎn)SET6循環(huán)LCALL CLR0 ;大于或等于24時(shí)清0操作AJMP SET6 ;跳轉(zhuǎn)到SET6循環(huán)SETOUT:JNB P2.4,SETOUT1 ;調(diào)時(shí)退出程序。等待鍵釋放LCALL DISPLAY ;延時(shí)削抖JNB P2.4,SETOUT ;是抖動(dòng),返回SETOUT再等待CLR 01H ;清調(diào)小時(shí)標(biāo)志CLR 00H ;清調(diào)分標(biāo)志CLR 02H ;清閃爍標(biāo)志CLR TR1 ;關(guān)閉定時(shí)器T1CLR ET1 ;關(guān)定時(shí)器T1中斷SETB TR0 ;開(kāi)啟定時(shí)器T0SETB ET0 ;開(kāi)定時(shí)器T0中斷(計(jì)時(shí)開(kāi)始LJMP STA

39、RT1 ;跳回主程序SET1:LCALL DISPLAY ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)分鐘AJMP SET2 ;防止鍵按下時(shí)無(wú)時(shí)鐘顯示SET3:LCALL DISPLAY ;等待調(diào)分按鍵時(shí)時(shí)鐘顯示用AJMP SET4SET5:LCALL DISPLAY ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)小時(shí)AJMP SETHH1 ;防止鍵按下時(shí)無(wú)時(shí)鐘顯示SET7:LCALL DISPLAY ;等待調(diào)小時(shí)按鍵時(shí)時(shí)鐘顯示用AJMP SET6SETOUT1:LCALL DISPLAY ;退出時(shí)鐘調(diào)整時(shí)鍵釋放等待AJMP SETOUT ;防止鍵按下時(shí)無(wú)時(shí)鐘顯示; 鬧鐘調(diào)整子程序 ; ;當(dāng)調(diào)鬧鐘時(shí)間按鍵按下時(shí)進(jìn)入此程序;

40、SETMM_A:CLR ET0 ;關(guān)定時(shí)器T0中斷CLR TR0 ;關(guān)閉定時(shí)器T0廣州大學(xué)松田學(xué)院?jiǎn)纹瑱C(jī)原理及應(yīng)用課程設(shè)計(jì)說(shuō)明書(shū) MOV R2,#06H ;進(jìn)入調(diào)時(shí)狀態(tài),賦閃爍定時(shí)初值 SETB ET1 ;允許 T1 中斷 SETB TR1 ;開(kāi)啟定時(shí)器 T1,閃爍 SETB 03H ;鬧鐘狀態(tài)標(biāo)志位置 1 ;鬧鐘調(diào)分針; SET2_A: JNB P2.4,SET1_A ;P2.4 口為 0(鍵未釋放) ,等待 SETB 04H ;鍵釋放,分調(diào)整閃爍標(biāo)志置 1 SET4_A: JB P2.4,SET3_A ;等待鍵按下 LCALL DL1S JNB P2.4,SETHH_A;按下時(shí)間大于 1

41、秒轉(zhuǎn)調(diào)小時(shí)狀態(tài) MOV R0,#6DH ;按下時(shí)間小于 1 秒加 1 分鐘操作 LCALL ADD1 ;調(diào)用加 1 子程序 MOV A,R3 ;取調(diào)整單元數(shù)據(jù) CLR C ;清進(jìn)位標(biāo)志 CJNE A,#60H,HHH_A ;調(diào)整單元數(shù)據(jù)與 60 比較 HHH_A: JC SET4_A ;調(diào)整單元數(shù)據(jù)小于 60 轉(zhuǎn) SET4 循環(huán) LCALL CLR0 ;調(diào)整單元數(shù)據(jù)大于或等于 60 時(shí)清 0 CLR C ;清進(jìn)位標(biāo)志 AJMP SET4_A ;跳轉(zhuǎn)到 SET4 循環(huán) ;鬧鐘調(diào)時(shí)針; SETHH_A: CLR SETHH1_A: JNB SETB SET6_A: JB LCALL JNB MOV

42、 LCALL MOV CLR CJNE HOUU_A: JC LCALL AJMP 04H ;分閃爍標(biāo)志清除(進(jìn)入調(diào)小時(shí)狀態(tài)) P2.4,SET5_A ;等待鍵釋放 05H ;小時(shí)調(diào)整標(biāo)志置 1 P2.4,SET7_A ;等待按鍵按下 DL1S P2.4,SETOUT_A;按下時(shí)間大于 1 秒退出時(shí)間調(diào)整 R0,#6FH ;按下時(shí)間小于 1 秒加 1 小時(shí)操作 ADD1 ;調(diào)加 1 子程序 A,R3 ; C ; A,#24H,HOUU_A ;計(jì)時(shí)單元數(shù)據(jù)與 24 比較 SET6_A CLR0 SET6_A ;小于 24 轉(zhuǎn) SET6_A 循環(huán) ;大于或等于 24 時(shí)清 0 操作 ;跳轉(zhuǎn)到 SE

43、T6_A 循環(huán) 22 廣州大學(xué)松田學(xué)院?jiǎn)纹瑱C(jī)原理及應(yīng)用課程設(shè)計(jì)說(shuō)明書(shū) SETOUT_A: JNB LCALL CLR CLR CLR CLR CLR CLR SETB SETB LJMP P2.4,SETOUT1_A ;調(diào)時(shí)退出程序。等待鍵釋放 ALARMDISPLAY ;延時(shí)削抖 05H ;清調(diào)小時(shí)標(biāo)志 04H ;清調(diào)分標(biāo)志 02H ;清閃爍標(biāo)志 03H ;清鬧鐘識(shí)別標(biāo)志 TR1 ;關(guān)閉定時(shí)器 T1 ET1 ;關(guān)定時(shí)器 T1 中斷 TR0 ;開(kāi)啟定時(shí)器 T0 ET0 ;開(kāi)定時(shí)器 T0 中斷(計(jì)時(shí)開(kāi)始) ALARMDIS SET1_A: LCALL AJMP SET3_A: LCALL AJM

44、P SET5_A: LCALL AJMP SET7_A: LCALL AJMP SETOUT1_A: LCALL AJMP ALARMDISPLAY SET2_A ALARMDISPLAY SET4_A ALARMDISPLAY SETHH1_A ALARMDISPLAY SET6_A ALARMDISPLAY SETOUT_A ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)鬧鐘分) ;防止鍵按下時(shí)無(wú)鬧鐘顯示 ;等待調(diào)分按鍵時(shí)鬧鐘顯示用 ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)鬧鐘時(shí)) ;防止鍵按下時(shí)無(wú)鬧鐘顯示 ;等待調(diào)小時(shí)按鍵時(shí)鬧鐘顯示用 ;退出時(shí)鐘調(diào)整時(shí)鍵釋放等待 ;防止鍵按下時(shí)無(wú)鬧鐘顯示 ; ; 顯示子程序 ; ; DISPLAY: MOV MOV MOV PLAY: MOV MOV MOV MOV ; 顯示數(shù)據(jù)在 70H-75H 單元內(nèi),用六位 LED 共陽(yáng)數(shù)碼管顯示,P0 口 ; 出段碼數(shù)據(jù),P1 口作掃描控制,每個(gè) LED 數(shù)碼管亮 1MS 時(shí)間再逐位 循環(huán)。 P1,#0FFH R1,#70H R5,#0F7H A,R5 P1,A A,R1 DPTR,#TAB ;指向顯示數(shù)據(jù)首址 ;掃描控制字初值(板顯示 00110000) ;掃描字放入 A ;從 P1 口輸出 ;取顯示數(shù)據(jù)到

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論