電子系統(tǒng)設(shè)計(jì)的基本方法_第1頁(yè)
電子系統(tǒng)設(shè)計(jì)的基本方法_第2頁(yè)
電子系統(tǒng)設(shè)計(jì)的基本方法_第3頁(yè)
電子系統(tǒng)設(shè)計(jì)的基本方法_第4頁(yè)
電子系統(tǒng)設(shè)計(jì)的基本方法_第5頁(yè)
已閱讀5頁(yè),還剩3頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第6章系統(tǒng)設(shè)計(jì)訓(xùn)練內(nèi)容提要在電子競(jìng)賽設(shè)計(jì)中,已經(jīng)離不開(kāi)微控制器、可編程邏輯器件和EDA設(shè)計(jì)工具,掌握先進(jìn)的系統(tǒng)設(shè)計(jì)方法可以獲得事半功倍的效果。本章介紹了現(xiàn)代電子系統(tǒng)的設(shè)計(jì)方法,電子競(jìng)賽作品設(shè)計(jì)制作的步驟,單片機(jī)與可編程邏輯器件子系統(tǒng)設(shè)計(jì)步驟,數(shù)字/模擬子系統(tǒng)設(shè)計(jì)步驟。知識(shí)要點(diǎn):電子系統(tǒng)的設(shè)計(jì)方法,電子設(shè)計(jì)競(jìng)賽作品設(shè)計(jì)制作的步驟,子系統(tǒng)設(shè)計(jì)步驟。教學(xué)建議:本章的重點(diǎn)是掌握電子設(shè)計(jì)競(jìng)賽作品設(shè)計(jì)制作的步驟。建議學(xué)時(shí)數(shù)為6學(xué)時(shí)??梢越Y(jié)合第1章和歷屆電子設(shè)計(jì)競(jìng)賽題目,展開(kāi)專(zhuān)題的分析與討論,研究電子設(shè)計(jì)競(jìng)賽作品設(shè)計(jì)制作的內(nèi)在規(guī)律。訓(xùn)練中給出一些題目,要求學(xué)生進(jìn)展方案的設(shè)計(jì)與論證,寫(xiě)出設(shè)計(jì)與論證報(bào)告。有條件

2、的學(xué)校也可以進(jìn)展實(shí)戰(zhàn)的模擬,要求學(xué)生完成電原理圖、印制板圖、裝配圖、實(shí)際制作、電路調(diào)試、設(shè)計(jì)總結(jié)報(bào)告。電子系統(tǒng)設(shè)計(jì)的根本方法概述傳統(tǒng)的電子系統(tǒng)設(shè)計(jì)一般是采用搭積木式的方法進(jìn)展,即由器件搭成電路板,由電路板搭成電子系統(tǒng)。系統(tǒng)常用的“積木塊是固定功能的標(biāo)準(zhǔn)集成電路,如運(yùn)算放大器、74/54系列TTL、4000/4500系列CMOS芯片和一些固定功能的大規(guī)模集成電路。設(shè)計(jì)者根據(jù)需要選擇適宜的器件,由器件組成電路板,最后完成系統(tǒng)設(shè)計(jì)。傳統(tǒng)的電子系統(tǒng)設(shè)計(jì)只能對(duì)電路板進(jìn)展設(shè)計(jì),通過(guò)設(shè)計(jì)電路板來(lái)實(shí)現(xiàn)系統(tǒng)功能。電子系統(tǒng)已進(jìn)入數(shù)字時(shí)代。在計(jì)算機(jī)、移動(dòng)通信、VCD、HDTV、軍用雷達(dá)、醫(yī)用CT儀器等設(shè)備中,數(shù)字技

3、術(shù)與數(shù)字電路構(gòu)成的數(shù)字系統(tǒng)已經(jīng)成為構(gòu)成這些現(xiàn)代電子系統(tǒng)的重要局部。進(jìn)入到20世紀(jì)90年代以后,EDA電子設(shè)計(jì)自動(dòng)化技術(shù)的開(kāi)展和普及給電子系統(tǒng)的設(shè)計(jì)帶來(lái)了革命性的變化。在器件方面,微控制器、可編程邏輯器件等飛速開(kāi)展。利用EDA工具,采用微控制器、可編程邏輯器件,正在成為電子系統(tǒng)設(shè)計(jì)的主流。采用微控制器、可編程邏輯器件通過(guò)對(duì)器件內(nèi)部的設(shè)計(jì)來(lái)實(shí)現(xiàn)系統(tǒng)功能,是一種基于芯片的設(shè)計(jì)方法。設(shè)計(jì)者可以根據(jù)需要定義器件的內(nèi)部邏輯和管腳,將電路板設(shè)計(jì)的大局部工作放在芯片的設(shè)計(jì)中進(jìn)展,通過(guò)對(duì)芯片設(shè)計(jì)實(shí)現(xiàn)電子系統(tǒng)的功能。靈活的內(nèi)部功能塊組合、管腳定義等,可大大減輕電路設(shè)計(jì)和電路板設(shè)計(jì)的工作量和難度,有效地增強(qiáng)設(shè)計(jì)的靈

4、活性,提高工作效率。同時(shí)采用微控制器、可編程邏輯器件,設(shè)計(jì)人員在實(shí)驗(yàn)室可反復(fù)編程,修改錯(cuò)誤,以期盡快開(kāi)發(fā)產(chǎn)品,迅速占領(lǐng)市場(chǎng)。基于芯片的設(shè)計(jì)可以減少芯片的數(shù)量,縮小系統(tǒng)體積,降低能源消耗,提高系統(tǒng)的性能和可靠性。采用微控制器、可編程邏輯器件芯片和EDA軟件,在實(shí)驗(yàn)室里就可以完成電子系統(tǒng)的設(shè)計(jì)和生產(chǎn)??梢詫?shí)現(xiàn)無(wú)芯片EDA公司,專(zhuān)業(yè)從事IP模塊生產(chǎn)。也可以實(shí)現(xiàn)無(wú)生產(chǎn)線(xiàn)集成電路設(shè)計(jì)公司的運(yùn)作??梢哉f(shuō),當(dāng)今的電子系統(tǒng)設(shè)計(jì)已經(jīng)離不開(kāi)微控制器、可編程邏輯器件和EDA設(shè)計(jì)工具?,F(xiàn)代電子系統(tǒng)的設(shè)計(jì)方法“Bottom-up自底向上設(shè)計(jì)方法傳統(tǒng)的電子系統(tǒng)設(shè)計(jì)采用“Bottom-up”自底向上設(shè)計(jì)方法,設(shè)計(jì)步驟如圖6

5、.1.1所示。圖6.1.1Bottom-up”自底向上設(shè)計(jì)方法的設(shè)計(jì)步驟“Top-down自頂向下設(shè)計(jì)方法現(xiàn)代電子系統(tǒng)的設(shè)計(jì)采用“Topdown”自頂向下設(shè)計(jì)方法,設(shè)計(jì)步驟如圖6.1.2所示。在“Top-down自頂向下的設(shè)計(jì)方法中,設(shè)計(jì)者首先需要對(duì)整個(gè)系統(tǒng)進(jìn)展方案設(shè)計(jì)和功能劃分,擬訂采用一片或幾片專(zhuān)用集成電路ASIC來(lái)實(shí)現(xiàn)系統(tǒng)的關(guān)鍵電路,系統(tǒng)和電路設(shè)計(jì)師親自參與這些專(zhuān)用集成電路的設(shè)計(jì),完成電路和芯片幅員,再交由IC工廠投片加工,或者采用可編程ASIC例如CPLD和FPGA現(xiàn)場(chǎng)編程實(shí)現(xiàn)。在Topdown”自頂向下的設(shè)計(jì)中,行為設(shè)計(jì)確定該電子系統(tǒng)或VLSI芯片的功能、性能及允許的芯片面積和本錢(qián)等

6、。構(gòu)造設(shè)計(jì)根據(jù)系統(tǒng)或芯片的特點(diǎn),將其分解為接口清晰、相互關(guān)系明確、盡可能簡(jiǎn)單的子系統(tǒng),得到一個(gè)總體構(gòu)造。這個(gè)構(gòu)造可能包括信號(hào)處理,算術(shù)運(yùn)算單元、控制單元、數(shù)據(jù)通道、各種算法狀態(tài)機(jī)等。邏輯設(shè)計(jì)把構(gòu)造轉(zhuǎn)換成邏輯圖,電路設(shè)計(jì)將邏輯圖轉(zhuǎn)換成電路圖,般都需進(jìn)展硬件仿真,以最終確定邏輯設(shè)計(jì)的正確性。幅員設(shè)計(jì)設(shè)計(jì)中盡可能采用規(guī)那么的邏輯構(gòu)造或采用經(jīng)過(guò)考驗(yàn)的邏輯單元或信號(hào)處理模塊。將電路圖轉(zhuǎn)換成幅員,如果采用可編程器件就可以在可編程器件的開(kāi)發(fā)工具時(shí)進(jìn)展編程制圖 6.1.2Top down”自頂向下設(shè)計(jì)方法的設(shè)計(jì)步驟3.設(shè)計(jì)的劃分與步驟采用Bottom-up自底向上設(shè)計(jì)方法或者“Topdown”自頂向下設(shè)計(jì)方法

7、,一般都可以將整個(gè)設(shè)方案分為系統(tǒng)級(jí)設(shè)計(jì)、子系統(tǒng)級(jí)設(shè)計(jì)、部件級(jí)設(shè)計(jì)、元器件級(jí)設(shè)計(jì)4個(gè)層次。對(duì)于每一個(gè)層次都可以采用圖6.1.3所示的3步進(jìn)展考慮。圖6.1.3設(shè)計(jì)的步驟例如設(shè)計(jì)一個(gè)數(shù)字控制系統(tǒng),行為描述與設(shè)計(jì)完成傳遞函數(shù)和邏輯表達(dá)式,構(gòu)造描述與設(shè)計(jì)完成邏輯圖和電路圖,物理描述與設(shè)計(jì)確定使用的元器件、印制板設(shè)計(jì)、安裝方法等。4.設(shè)計(jì)中應(yīng)注意的一些問(wèn)題在設(shè)計(jì)中采用“Topdown”自頂向下設(shè)計(jì)方法必須注意以下問(wèn)題:1在設(shè)計(jì)的每一個(gè)層次中,必須保證所完成的設(shè)計(jì)能夠?qū)崿F(xiàn)所要求的功能和技術(shù)指標(biāo)。注意功能上不能夠有殘缺,技術(shù)指標(biāo)要留有余地。2注意設(shè)計(jì)過(guò)程中問(wèn)題的反應(yīng)。解決問(wèn)題采用“本層解決,下層向上層反應(yīng)的

8、原那么,遇到問(wèn)題必須在本層解決,不可以將問(wèn)題傳向下層。如果在本層解決不了,必須將問(wèn)題反應(yīng)到上層,在上一層中解決。完成一個(gè)設(shè)計(jì),存在從下層向上層屢次反應(yīng)修改的過(guò)程。3功能和技術(shù)指標(biāo)的實(shí)現(xiàn)采用子系統(tǒng)、部件模塊化設(shè)計(jì)。要保證每個(gè)子系統(tǒng)、部件都可以完成明確的功能,到達(dá)確定的技術(shù)指標(biāo)。輸入輸出信號(hào)關(guān)系應(yīng)明確、直觀、清晰。應(yīng)保證可以對(duì)子系統(tǒng)、部件進(jìn)展修改與調(diào)整以及替換,而不牽一發(fā)動(dòng)全身。4軟硬件協(xié)同設(shè)計(jì),充分利用微控制器和可編程邏輯器件的可編程功能,在軟件與硬件利用之間尋找一個(gè)平衡。軟件/硬件協(xié)同設(shè)計(jì)的一般流程如圖6.1.4所示。圖6.1.4軟彳/硬件協(xié)同設(shè)計(jì)的一般流程6.1.3EDA技術(shù)EDA技術(shù)的內(nèi)涵

9、EDAElectronicsDesignAutomation即電子設(shè)計(jì)自動(dòng)化。現(xiàn)在電子系統(tǒng)設(shè)計(jì)依靠手工已經(jīng)無(wú)法滿(mǎn)足設(shè)計(jì)要求,設(shè)計(jì)工作需要在計(jì)算機(jī)上采用EDA技術(shù)完成。EDA技術(shù)以計(jì)算機(jī)硬件和系統(tǒng)軟件為根本工作平臺(tái),采用EDA通用支撐軟件和應(yīng)用軟件包,在計(jì)算機(jī)上幫助電子設(shè)計(jì)工程師完成電路的功能設(shè)計(jì)、邏輯設(shè)計(jì)、性能分析、時(shí)序測(cè)試直至PCB印刷電路板的自動(dòng)設(shè)計(jì)等。在EDA軟件的支持下,設(shè)計(jì)者完成對(duì)系統(tǒng)功能的進(jìn)展描述,由計(jì)算機(jī)軟件進(jìn)展處理得到設(shè)計(jì)結(jié)果。利用EDA設(shè)計(jì)工具,設(shè)計(jì)者可以預(yù)知設(shè)計(jì)結(jié)果,減少設(shè)計(jì)的盲目性,極大地提高設(shè)計(jì)的效率。EDA通用支撐軟件和應(yīng)用軟件包涉及到電路和系統(tǒng)、數(shù)據(jù)庫(kù)、圖形學(xué)、圖論

10、和拓?fù)溥壿?、?jì)算數(shù)學(xué)、優(yōu)化理論等多學(xué)科,EDA軟件的技術(shù)指標(biāo)有自動(dòng)化程度,功能完善度,運(yùn)行速度,操作界面,數(shù)據(jù)開(kāi)放性和互換性不同廠商的EDA軟件可相互兼容等。EDA技術(shù)包括電子電路設(shè)計(jì)的各個(gè)領(lǐng)域:即從低頻電路到高頻電路、從線(xiàn)性電路到非線(xiàn)性電路、從模擬電路到數(shù)字電路、從分立電路到集成電路的全部設(shè)計(jì)過(guò)程,涉及到電子工程師進(jìn)展產(chǎn)品開(kāi)發(fā)的全過(guò)程,以及電子產(chǎn)品生產(chǎn)的全過(guò)程中期望由計(jì)算機(jī)提供的各種輔助工作。EDA技術(shù)的內(nèi)涵如圖6.1.5所示。數(shù)字系統(tǒng)楨塊化設(shè)計(jì)圖6.1.5EDA技術(shù)的內(nèi)涵EDA技術(shù)的根本特征采用高級(jí)語(yǔ)言描述,具有系統(tǒng)級(jí)仿真和綜合能力是EDA技術(shù)的根本特征。與這些根本特征有關(guān)的幾個(gè)概念是:1

11、并行工程和“自頂向下設(shè)計(jì)方法并行工程是一種系統(tǒng)化的、集成化的、并行的產(chǎn)品及相關(guān)過(guò)程的開(kāi)發(fā)模式相關(guān)過(guò)程主要指制造和維護(hù)。這一模式使開(kāi)發(fā)者從一開(kāi)場(chǎng)就要考慮到產(chǎn)品生存周期的質(zhì)量、本錢(qián)、開(kāi)發(fā)時(shí)間及用戶(hù)的需求等等諸多方面因素?!白皂斚蛳耇opdown的設(shè)計(jì)方法從系統(tǒng)級(jí)設(shè)計(jì)入手,在頂層進(jìn)展功能方框圖的劃分和構(gòu)造設(shè)計(jì);在方框圖一級(jí)進(jìn)展仿真、糾錯(cuò),并用硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)行為進(jìn)展描述;在功能一級(jí)進(jìn)展驗(yàn)證,然后用邏輯綜合優(yōu)化工具生成具體的門(mén)級(jí)邏輯電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)可以是印刷電路板或?qū)S眉呻娐??!癟op-down設(shè)計(jì)方法有利于在早期發(fā)現(xiàn)產(chǎn)品構(gòu)造設(shè)計(jì)中的錯(cuò)誤,提高設(shè)計(jì)的一次成功率,在EDA技術(shù)中

12、被廣泛采用。2硬件描述語(yǔ)言HDL用硬件描述語(yǔ)言進(jìn)展電路與系統(tǒng)的設(shè)計(jì)是當(dāng)前EDA技術(shù)的一個(gè)重要特征。硬件描述語(yǔ)言突出優(yōu)點(diǎn)是:語(yǔ)言的公開(kāi)可利用性;設(shè)計(jì)與工藝的無(wú)關(guān)性;寬X圍的描述能力;便于組織大規(guī)模系統(tǒng)的設(shè)計(jì);便于設(shè)計(jì)的復(fù)用和繼承等。與原理圖輸入設(shè)計(jì)方法相比擬,硬件描述語(yǔ)言更適合規(guī)模日益增大的電子系統(tǒng)。硬件描述語(yǔ)言使得設(shè)計(jì)者在比擬抽象的層次上描述設(shè)計(jì)的構(gòu)造和內(nèi)部特征,是進(jìn)展邏輯綜合優(yōu)化的重要工具。目前最常用的IEEE標(biāo)準(zhǔn)硬件描述語(yǔ)言有VHDL和VerilogHDL。3邏輯綜合與優(yōu)化邏輯綜合功能將高層次的系統(tǒng)行為設(shè)計(jì)自動(dòng)翻譯成門(mén)級(jí)邏輯的電路描述,做到了設(shè)計(jì)與工藝的獨(dú)立。優(yōu)化那么是對(duì)于上述綜合生成的電

13、路網(wǎng)表,根據(jù)布爾方程功能等效的原那么,用更小、更快的綜合結(jié)果替代一些復(fù)雜的邏輯電路單元,根據(jù)指定的目標(biāo)庫(kù)映射成新的網(wǎng)表。(4)開(kāi)放性和標(biāo)準(zhǔn)化EDA系統(tǒng)的框架是一種軟件平臺(tái)構(gòu)造,它為不同的EDA工具提供操作環(huán)境??蚣芴峁┡c硬件平臺(tái)無(wú)關(guān)的圖形用戶(hù)界面以及工具之間的通信、設(shè)計(jì)數(shù)據(jù)和設(shè)計(jì)流程的管理,以及各種與數(shù)據(jù)庫(kù)相關(guān)的效勞工程等。一個(gè)建立了符合標(biāo)準(zhǔn)的開(kāi)放式框架構(gòu)造EDA系統(tǒng),可以接納其他廠商的EDA工具一起進(jìn)展設(shè)計(jì)工作。框架作為一套使用和配置EDA軟件包的規(guī)X,可以實(shí)現(xiàn)各種EDA工具間的優(yōu)化組合,將各種EDA工具集成在一個(gè)統(tǒng)一管理的環(huán)境之下,實(shí)現(xiàn)資源共享。EDA框架標(biāo)準(zhǔn)化和硬件描述語(yǔ)言等設(shè)計(jì)數(shù)據(jù)格式

14、的標(biāo)準(zhǔn)化可集成不同設(shè)計(jì)風(fēng)格和應(yīng)用的要求導(dǎo)致各具特色的EDA工具在同一個(gè)工作站上。集成的EDA系統(tǒng)不僅能夠?qū)崿F(xiàn)高層次的自動(dòng)邏輯綜合、幅員綜合和測(cè)試碼生成,而且可以使各個(gè)仿真器對(duì)同一個(gè)設(shè)計(jì)進(jìn)展協(xié)同仿真,進(jìn)一步提高了EDA系統(tǒng)的工作效率和設(shè)計(jì)的正確性。5庫(kù)Library庫(kù)是支持EDA工具完成各種自動(dòng)設(shè)計(jì)過(guò)程的關(guān)鍵。EDA設(shè)計(jì)公司與半導(dǎo)體生產(chǎn)廠商嚴(yán)密合作、共同開(kāi)發(fā)了各種庫(kù),如邏輯模擬時(shí)的模擬庫(kù)、邏輯綜合時(shí)的綜合庫(kù)、幅員綜合時(shí)的幅員庫(kù)、測(cè)試綜合時(shí)的測(cè)試庫(kù)等等,這些庫(kù)支持EDA工具完成各種自動(dòng)設(shè)計(jì)。3.EDA的根本工具EDA工具的整體概念是電子系統(tǒng)設(shè)計(jì)自動(dòng)化。EDA的物理工具完成和解決設(shè)計(jì)中如芯片布局、印

15、刷電路板布線(xiàn)、電氣性能分析,設(shè)計(jì)規(guī)那么檢查等問(wèn)題的物理工具?;诰W(wǎng)表、布爾邏輯、傳輸時(shí)序等概念的邏輯工具,設(shè)計(jì)輸入采用原理圖編輯器或硬件描述語(yǔ)言進(jìn)展,利用EDA系統(tǒng)完成邏輯綜合、仿真、優(yōu)化等過(guò)程,生成網(wǎng)表或VHDL、VerilogHDL的構(gòu)造化描述。細(xì)分有:編輯器、仿真器、檢查/分析工具、優(yōu)化綜合工具等。文字編輯器在系統(tǒng)級(jí)設(shè)計(jì)中用來(lái)編輯硬件系統(tǒng)的描述語(yǔ)言如VHDL和VerilogHDL,在其他層次用來(lái)編輯電路的硬件描述語(yǔ)言文本如SPICE的文本輸入。圖形編輯器用于硬件設(shè)計(jì)的各個(gè)層次。在幅員級(jí),圖形編輯器用來(lái)編輯表示硅工藝加工過(guò)程的幾何圖形。在高于幅員層次的其他級(jí),圖形編輯器用來(lái)編輯硬件系統(tǒng)的方

16、框圖、原理圖等。典型的原理圖輸入工具包括根本單元符號(hào)庫(kù)根本單元的圖形符號(hào)和仿真模型、原理圖編輯器的編輯功能、產(chǎn)生網(wǎng)表的功能3個(gè)組成局部。仿真器又稱(chēng)模擬器,用來(lái)幫助設(shè)計(jì)者驗(yàn)證設(shè)計(jì)的正確性。在硬件系統(tǒng)設(shè)計(jì)的各個(gè)層次都要用到仿真器。在數(shù)字系統(tǒng)設(shè)計(jì)中,硬件系統(tǒng)由數(shù)字邏輯器件以及它們之間的互連來(lái)表示。仿真器的用途是確定系統(tǒng)的輸入/輸出關(guān)系,所采用的方法是把每一個(gè)數(shù)字邏輯器件映射為一個(gè)或幾個(gè)過(guò)程,把整個(gè)系統(tǒng)映射為由進(jìn)程互連構(gòu)成的進(jìn)程網(wǎng)絡(luò),這種由進(jìn)程互連組成的網(wǎng)絡(luò)就是設(shè)計(jì)的仿真模型。檢查/分析工具在集成電路設(shè)計(jì)的各個(gè)層次都會(huì)用到。在幅員級(jí),采用設(shè)計(jì)規(guī)那么檢查工具來(lái)保證幅員所表示的電路能被可靠地制造出來(lái)。在邏輯門(mén)級(jí),檢查/分析工具用來(lái)檢查是否有違反扇出規(guī)那么的連接關(guān)系。時(shí)序分析器用來(lái)檢查電路中的最大和最小延時(shí)。優(yōu)化/綜合工具可以將硬件的高層次描述轉(zhuǎn)換為低層次描述,也可以將硬件的行為描述轉(zhuǎn)換為構(gòu)造描述,轉(zhuǎn)換過(guò)程通常伴隨著設(shè)計(jì)的某種改良。如在邏輯門(mén)級(jí),可用邏輯最小化來(lái)對(duì)布爾表達(dá)式進(jìn)展簡(jiǎn)化。在存放器級(jí),優(yōu)化工具可用來(lái)確定控制序列和數(shù)據(jù)路徑的最優(yōu)組合。目前國(guó)際上具有代表性的EDA軟件供給商有CADENCE、SYNOPSYS、AVANT!MENTOR等。MENTORMentorGraphi

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論