EDA出租車計價器的設(shè)計說明_第1頁
EDA出租車計價器的設(shè)計說明_第2頁
EDA出租車計價器的設(shè)計說明_第3頁
EDA出租車計價器的設(shè)計說明_第4頁
EDA出租車計價器的設(shè)計說明_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

.....EDA技術(shù)課程設(shè)計任務(wù)書一、設(shè)計題目、內(nèi)容及要求題目:出租車計價器設(shè)計內(nèi)容及要求:設(shè)計任務(wù):設(shè)計一種出租車計價器,計費標(biāo)準(zhǔn)如下<1>起步價為8.00元,起步公里為3公里;<2>超過3公里,每公里按1.00元收費;<3>單程行駛里程超過20公里,每公里租價加收50%;<4>等候時間累積1分鐘,則每分鐘這算1公里里程價。要求顯示里程、計費及等候時間。乘客上車后,按下啟動鍵,開始計費,除非同一乘客往返用那個車,否則按下單程鍵,這樣行駛里程超過20公里時,每公里加收50%,既每公里租費1.5元;計費顯示為3位整數(shù)、1位小數(shù)。二、設(shè)計原始資料1.教材2.實驗指導(dǎo)書三、要求的設(shè)計成果〔課程設(shè)計說明書、設(shè)計實物、圖紙等1.設(shè)計說明書:內(nèi)容包括設(shè)計背景、設(shè)計原理、仿真結(jié)果分析、設(shè)計總結(jié)。2.硬件調(diào)試:要求學(xué)生對設(shè)計進行硬件調(diào)試,調(diào)試平臺為EDA實驗室的實驗箱。四、進程安排1.20周1-3進行總體設(shè)計,進行各模塊的程序設(shè)計、仿真及硬件調(diào)試。2.20周4-5進行說明書撰寫及答辯。五、主要參考資料1.潘松,黃繼業(yè).《EDA技術(shù)實用教程》.科學(xué)出版社,2010.6.2.劉江海.《EDA技術(shù)課程設(shè)計》.華中科技大學(xué)出版社,2009.5.指導(dǎo)教師〔簽名:教研室主任〔簽名:注:可以附頁目錄TOC\o"1-3"\u1概述12總體設(shè)計22.1設(shè)計要求22.2設(shè)計思路22.3設(shè)計流程圖22.4總體設(shè)計封裝圖42.5各信號說明43模塊設(shè)計53.1秒分頻模塊53.2控制模塊53.3計量模塊5計價部分5計時部分5計程部分53.4譯碼顯示模塊54程序調(diào)試運行65硬件驗證85.1引腳鎖定85.2硬件驗證情況86總結(jié)及體會9參考文獻10附錄〔程序源代碼11..1概述隨著我國國民經(jīng)濟生產(chǎn)總值的增加以及人民生活水平的提高,各大中小城市的出租車營運事業(yè)發(fā)展迅速,出租車已經(jīng)成為人們?nèi)粘3鲂羞x擇較為普通的交通工具。出租車計價器是出租車營運收費的專用智能化儀表,是使出租車市場規(guī)范化、標(biāo)準(zhǔn)化的重要設(shè)備。一種功能完備,簡單易用,計量準(zhǔn)確的出租車計價器是加強出租車行業(yè)管理,提高服務(wù)質(zhì)量的必需品。本設(shè)計采用VHDL硬件描述語言作為設(shè)計手段,采用自頂向下的設(shè)計思路,得到一種出租車計價系統(tǒng)的軟件結(jié)構(gòu),通過QuartusⅡ軟件下進行仿真,證明所設(shè)計的電路系統(tǒng)完成了出租車計價的功能,各項指標(biāo)符合設(shè)計要求。該設(shè)計雖然功能簡單,智能化水平比較低,但仍具有一定的實用性。該設(shè)計是在VHDL的基礎(chǔ)上對出租車計價器進行設(shè)計來實現(xiàn)其基本功能的,與以往的基于單片機的數(shù)?;旌想娐废啾?FPGA具有穩(wěn)定性好、抗干擾能力強、電路實現(xiàn)簡單、程序簡單等優(yōu)點,且非常適合做為出租車計價器的控制核心,所以選擇用VHDL來對計價器進行設(shè)計來實現(xiàn)其功能。出租車計價器的實現(xiàn)將大大改善人們出行時因為討價還價而帶來的煩惱,從而使人們的心情比較愉悅。本設(shè)計是對出租車計價器的四個模塊進行分析的,綜述如下:分頻模塊:分頻模塊是其它模塊的基礎(chǔ),輸入時鐘選為32Hz,分頻后的時鐘頻率為1Hz,為后續(xù)模塊提供基本時鐘。等待時間模塊:該模塊針對乘客確認(rèn)下車前的等待而言,比如堵車、中途下車的情況,通過1Hz脈沖計數(shù),每一分鐘計時加一,最大計時時間顯示為99分鐘。路程模塊:該模塊是對車輛行駛路程進行計數(shù),以1Hz時鐘為基礎(chǔ),檢測行程脈沖,路程模塊中有內(nèi)部變量來判斷路程,當(dāng)大于3公里、20公里時,分別有相應(yīng)的使能信號對此作出記錄,最大路程顯示為99公里。計費模塊:該模塊是基于等待時間模塊和路程模塊對費用進行控制的。通過內(nèi)部使能信號分別計算3公里以內(nèi)、3-20公里以及20公里以后的費用。本設(shè)計是基于VHDL進行編程,然后在QuartusⅡ進行波形仿真,實現(xiàn)出租車計價器的基本功能。2總體設(shè)計2.1設(shè)計要求〔1起步價為8.00元,起步公里為3公里;〔2超過3公里,每公里按1元收費;〔3單程行駛里程超過20公里,每公里租價加收50%;〔4等候時間超過1分鐘,每分鐘按這公里的租價計算。要求顯示里程、計費及等候時間。乘客上車后,按下啟動鍵,開始計費,除非同一乘客往返用那個車,否則按下單程鍵,這樣行駛里程超過20公里時,每公里加收50%,既每公里租費1.5元;計費顯示為3位整數(shù)、1位小數(shù)。2.2設(shè)計思路輸入2個時鐘信號,分別模擬時間和路程。對等待時間、路程計數(shù),進而統(tǒng)計費用。系統(tǒng)結(jié)構(gòu)框圖如圖2-1。顯示分頻器計費時鐘信號顯示分頻器計費時鐘信號等待信號等待信號公里脈沖公里脈沖計費/復(fù)位控制器計費/復(fù)位控制器計程計時圖2-1自動計價器的系統(tǒng)方框圖上圖中,計數(shù)器1對分頻后的1Hz脈沖計數(shù),計數(shù)60次,計數(shù)器加1,對應(yīng)等待時間,計數(shù)器2對車速脈沖計數(shù),其上升沿到來計數(shù)加1,對應(yīng)里程。2.3設(shè)計流程圖設(shè)計流程圖如圖2-2所示。開始開始初始化單程?里程>3里程>201.5元/公里1元/公里等待?等待?1.5元/分鐘1元/分鐘計費NYYYNYYNN圖2-2系統(tǒng)設(shè)計流程圖分頻里程計數(shù)時間計數(shù)時間計數(shù)N乘客上車后,按下啟動按鈕,計價器開始工作,首先進入初始化狀態(tài),即計程從0開始,計費從8開始。再根據(jù)單程信號判斷是否為單程,進而確定計費方式。單程:里程計數(shù)器開始計數(shù),當(dāng)路程超過3公里時,計費開始累加,按1元每公里計算,路程超過20公里時每公里1.5元。當(dāng)路程超過3公里有等待時,按下等待信號,每等待1分鐘費用與當(dāng)前計費方式相同。往返:每公里1元,等待計費為每分鐘1元。乘客下車后,按下復(fù)位鍵,則所有計數(shù)器進入初始狀態(tài)。2.4總體設(shè)計封裝圖總體設(shè)計封裝圖如圖2-3所示。圖2-3系統(tǒng)封裝圖2.5各信號說明輸入信號:clk:系統(tǒng)時鐘信號;mile:車速時鐘;start::啟動信號,當(dāng)start=1時,出租車啟動,計數(shù)器開始計數(shù);否則計數(shù)器停止計數(shù);single:單程信號,單程時由司機輸入;stop:等待信號,處于等待狀態(tài)時由司機輸入;輸出信號:km1,km2:里程,單位為公里;min1,min2:等待時間,單位為分鐘;price1,price2,price3,price4:費用,單位為元,其中price1表示小數(shù)位。3模塊設(shè)計3.1秒分頻模塊秒分頻模塊對頻率為240Hz的輸入脈沖進行分頻,得到的頻率為16Hz,15Hz和1Hz的三種頻率。該模塊產(chǎn)生頻率信號用于計費,每個1HZ脈沖為0.1元計費控制,15HZ信號為1.5元的計費控制,16Hz信號為1.6元計費控制。3.2控制模塊控制模塊是系統(tǒng)的核心部分,對計價器的狀態(tài)進行控制。3.3計量模塊計量模塊完成計價、計時、計程功能。計價部分行程3公里內(nèi),起步費為8元;3公里外以每公里1元計費,等待累計時間1分鐘外以每分鐘1元計費。20公里外以每公里1.5元計費,等待累計時間1分鐘外以每分鐘1.5元計費。計費數(shù)據(jù)送入顯示譯碼模塊進行譯碼,最后送至以百元、十元、元、角為單位對應(yīng)的數(shù)碼管上顯示。計時部分計時部分用于計算乘客的等待累計時間。計時器的量程為59分,滿量程自動歸零。即時數(shù)據(jù)送入顯示譯碼模塊進行譯碼,最后送至以分為單位對應(yīng)的數(shù)據(jù)管上顯示。計程部分計程部分用于計算乘客所行使的公里數(shù)。計程器的量程為99公里3.4譯碼顯示模塊譯碼顯示模塊完成計價、計時、計程數(shù)據(jù)顯示。該模塊經(jīng)過8選1選擇器將計費數(shù)據(jù)〔4位BCD碼、計時數(shù)據(jù)〔2位BCD碼、計程數(shù)據(jù)〔2位BCD碼動態(tài)選擇輸出。其中計費數(shù)據(jù)送入顯示譯碼模塊進行譯碼,最后送至百元、十元、元、角為單位對應(yīng)的數(shù)碼管上顯示,最大顯示為999.9元;計時數(shù)據(jù)送入顯示譯碼模塊進行譯碼,最后送至分為單位對應(yīng)的數(shù)碼管上顯示,最大顯示為99分鐘;計程數(shù)據(jù)送入顯示譯碼模塊進行譯碼,最后送至以公里為單位的數(shù)碼管上顯示,最大顯示為99公里4程序調(diào)試運行圖4-1單程行駛示意圖啟動鍵、單程鍵有效,由圖4-1可知,3公里之內(nèi)計費顯示為8元;3-20公里之間每公里1元累計;超過20公里圖4-2單程行駛中途等待示意圖啟動鍵、單程鍵有效,行駛一段時間等待信號有效,等待后繼續(xù)行駛。由圖4-2可知,3公里之內(nèi)計費顯示8元,3-20公里之間每公里圖4-3單程行駛到達目的地示意圖啟動鍵、單程鍵有效,乘客到達目的地下車。如圖4-3所示。圖4-4雙程行駛示意圖啟動鍵有效,乘客乘車完成雙程行駛。由圖4-4可知,3公里之內(nèi)計費顯示為8元;3-20公里之間每公里1元累計;超過20公里5硬件驗證5.1引腳鎖定做硬件驗證之前需要清楚實驗板上各鍵與各信號的鏈接情況,參照資料得出本設(shè)計中各引腳的對應(yīng)情況如下,本設(shè)計下載時選擇模式5。引腳鎖定如圖5-1。圖5-1引腳鎖定圖5.2硬件驗證情況做好引腳鎖定后,打開硬件箱的電源,數(shù)碼管有正確的數(shù)字顯示,鏈接硬件箱與計算機開始驗證。操作運行實驗結(jié)果完全符合要求。通過仿真驗證表明,本文所設(shè)計的出租車計價器能夠正常地顯示行駛的里程數(shù)和乘客應(yīng)付的費用,符合預(yù)定的計費標(biāo)準(zhǔn)和功能要求。6總結(jié)及體會通過為期一周的課程設(shè)計,出租車計價器系統(tǒng)的設(shè)計已基本完成,能按預(yù)期的效果模擬汽車啟動、等待、停止、復(fù)位等功能,并顯示車費數(shù)目等待時間及行駛路程。出租車計費系統(tǒng)的設(shè)計中體現(xiàn)了VHDL覆蓋面廣,描述能力強,是一個多層次的硬件描述語言及CPLD器件速度快,使用方便,便于修改等特點,本設(shè)計在實用方面具有一定的價值。在本次設(shè)計中還存在很多不足,可以改進的地方目前有以下幾點:一、該設(shè)計雖然實現(xiàn)了基本的計費和計程,但是很多問題并沒有解決,如本設(shè)計只實現(xiàn)了一種車速的計費。若要實現(xiàn)出租車的不同檔位下的計程計費,還需要進一步討論。二、該設(shè)計智能化水平較低,啟動、等待、復(fù)位等信號需要人為輸入,若在實際中出現(xiàn)操作偏差,會導(dǎo)致計費不準(zhǔn)確。通過此次課程設(shè)計,我們更進一步的深入了解了VHDL設(shè)計語言,并在使用過程中對它有了更深的體會。對編程過程中可能遇到的問題有了一定的了解和解決方法,在理論學(xué)習(xí)和編程練習(xí)以及硬件測試方面都獲得了較大的收獲,對于今后進行程序設(shè)計有很大的幫助。期間要感謝我的老師的悉心指導(dǎo)以及同學(xué)們的大力幫助。在此次設(shè)計過程中確實遇到了很多困難,但是再大的困難只要有勇氣去征服它,那就不是什么困難了。此外,通過本次課程設(shè)計,我還有了一定的對學(xué)習(xí)上的體會,知識的真實魅力其實是在于它因為應(yīng)用于實踐中而產(chǎn)生的實際的生產(chǎn)價值,或者說是給人們帶來的巨大的方便,因為它有用了所以我們學(xué)起來會更有動力,更能往深里去研究。參考文獻[1]VoleiA.Pedroni.《VHDL數(shù)字電路設(shè)計教程》.電子工業(yè)出版社,2010.[2]潘松,黃繼業(yè).《EDA技術(shù)實用教程》.科學(xué)出版社,2010.6.[3]劉江海.《EDA技術(shù)課程設(shè)計》.華中科技大學(xué)出版社,2009.5.[3]鮑可進,趙念強,趙不賄等.《數(shù)字邏輯電路設(shè)計》.清華大學(xué)出版社,2004.[4]王道憲,賀名臣,劉偉.《VHDL電路設(shè)計技術(shù)》.國防工業(yè)出版社,2004.[5]黃仁欣.《EDA技術(shù)實用教程》.清華大學(xué)出版社,2006.[6]徐向民.《數(shù)字系統(tǒng)設(shè)計及VHDL實踐》.機械工業(yè)出版社,2007.附錄〔程序源代碼出租車計價器源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityhuisport<clk:instd_logic;start,single:instd_logic;stop,mile:instd_logic;min1,min2:outstd_logic_vector<3downto0>;km1,km2:outstd_logic_vector<3downto0>;price1,price2,price3,price4:outstd_logic_vector<3downto0>>;end;architecturebhvofhuissignalstart_r:std_logic;signalclk1hz:std_logic;signalq:integerrange0to299;signalsecond:integerrange0to59;signalp1,p2,p3,p4:std_logic_vector<3downto0>;signalk1,k2:std_logic_vector<3downto0>;signalm1,m2:std_logic_vector<3downto0>;signalen0,en1,f_wait,f:std_logic;beginmin1<=m1;min2<=m2;km1<=k1;km2<=k2;price1<=p1;price2<=p2;price3<=p3;price4<=p4;U1:process<clk>beginif<clk'eventandclk='1'>thenifq=255thenq<=0;clk1hz<='1';elseq<=q+1;clk1hz<='0';endif;endif;endprocess;U2:process<clk1hz>beginifstart='0'thenf_wait<='0';m1<="0000";m2<="0000";elsif<clk1hz'eventandclk1hz='1'>thenifstop='1'thenifsecond=6thensecond<=0;f_wait<='1';ifm1="1001"thenm1<="0000";ifm2="0101"thenm2<="0000";elsem2<=m2+'1';endif;elsem1<=m1+'1';endif;elsef_wait<='0';second<=second+1;endif;elsef_wait<='0';endif;endif;endprocess;U3:process<clk1hz>beginif<clk1hz'eventandclk1hz='1'>thenstart_r<=start;endif;endprocess;f<=f_waitwhenstop='1'elsemilewhenen0='1'else'0';U4:process<start,mile>beginifstart='0'thenk1<="0000";k2<="0000";en0<='0';en1<='0';elsif<mile'eventandmile='1'>thenifstop='0'thenifk1="1001"thenk1<="0000";ifk2="1001"thenk2<="0000";elsek2<=k2+'1';endif;elsek1<=k1+'1';endif;ifk1="0011"thenen0<='1';endif;ifk2="0001"andk1="1001"andsingle='1'thenen1<='1';endif;elsek1<=k1;k2<=k2;endif;endif;endprocess;U5:process<start,f>beginifstart='0'thenp1<="0000";p2<="0000";p3<="0000";p4<="0000";elsifstart='1'andstart_r='0'thenp1<="0000";p2<="1000";p3<="0000";p4<="0000";elsif<f'eventandf='1'>thenifen1='0'thenifp2="1001"thenp2<="0000";ifp3="1001"then

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論