數(shù)字電路實驗指導書_第1頁
數(shù)字電路實驗指導書_第2頁
數(shù)字電路實驗指導書_第3頁
數(shù)字電路實驗指導書_第4頁
數(shù)字電路實驗指導書_第5頁
已閱讀5頁,還剩39頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、數(shù)字邏輯電路實驗指導書南京師范大學計算機系2017 10數(shù)字邏輯電路實驗Digital Logic Circuits Exp erime nts、實驗目的要求:數(shù)字邏輯電路實驗是計算機科學與技術專業(yè)的基礎實驗,與數(shù)字邏輯電路 理論課程同步開設(不單獨設課),是理論教學的深化和補充,同時又具有較強 的實踐性,其目的是通過若干實驗項目的學習,使學生掌握數(shù)字電子技術實驗 的基本方法和實驗技能,培養(yǎng)獨立分析問題和解決問題的能力。二、實驗主要內容: 教學內容分為基礎型、綜合型,設計型和研究型,教學計劃分為多個層次,學 生根據(jù)其專業(yè)特點和自己的能力選擇實驗,12人一組。但每個學生必須選做 基礎型實驗,綜合

2、型實驗,基礎型實驗的目的主要是培養(yǎng)學生正確使用常用電 子儀器,掌握數(shù)字電路的基本測試方法。按實驗課題要求,掌握設計和裝接電 路,科學地設計實驗方法,合理地安排實驗步驟的能力。掌握運用理論知識及 實踐經(jīng)驗排除故障的能力。 綜合型實驗的目的就是培養(yǎng)學生初步掌握利用 EDA 軟件的能力,并以可編程器件應用為目的,培養(yǎng)學生對新技術的應用能力。初 步具有撰寫規(guī)范技術文件能力。 設計型實驗的目的就是培養(yǎng)學生綜合運用已經(jīng) 學過的電子技術基礎課程和 EDA軟件進行電路仿真實驗的能力,并設計出一些簡單的綜合型系統(tǒng),同時在條件許可的情況下,可開設部分研究型實驗, 其目 的是利用先進的EDA軟件進行電路仿真,結合具

3、體的題目,采用軟、硬件結合 的方式,進行復雜的數(shù)字電子系統(tǒng)設計。數(shù)字邏輯電路實驗實驗1門電路邏輯功能測試實驗預習仔細閱讀實驗指導書,了解實驗內容和步驟。 復習門電路的工作原理及相應邏輯表達式。熟悉所用集成電路的引線位置及各引線用途。熟悉TTL門電路邏輯功能的測試。了解數(shù)字邏輯綜合實驗裝置的有關功能和使用方法。實驗目的熟悉數(shù)字邏輯實驗裝置的有關功能和使用方法。 熟悉雙蹤示波器的有關功能和使用方法。掌握門電路的邏輯功能, 學習門電路的測試方法。熟悉其外形和外引線排列。實驗儀器綜合實驗裝置數(shù)字萬用表 雙蹤示波器 器件一套一塊.厶臺74LS00二輸入端四與非門2片74LS20四輸入端雙與非門1片74L

4、S86兩輸入端四異或門1片74LS04六反相器1片實驗原理說明數(shù)字電路主要研究電路的輸出與輸入之間的邏輯關系,合來實現(xiàn)的。門電路是數(shù)字電路的基本單元電路。門電路的輸出有三種類型:圖騰柱輸出(一般TTL門電路)、集電極開路(0C門)輸出和三態(tài)(3S)輸出。它們的類型、邏輯式、邏輯符號與參考型號見表1-0。門電路的輸入與輸出量均為1和0兩種邏輯狀態(tài)。我們在實驗中可以用 乒乓開關 的兩種位置表示輸入 1和0兩種狀態(tài),當輸入端為高電平時, 相應的輸入端處于1位置,當輸入端為低電平時,相應的輸入端處于0位置。我們也可以用發(fā)光二極管的兩種狀態(tài)表示輸出 1和0兩種狀態(tài),當輸出端為高電平時,相應的發(fā)光二 極管

5、亮,當輸出端為低電平時,相應的發(fā)光二極管不亮。我們還可以用數(shù)字萬用表直接測 量輸出端的電壓值,當電壓值為3.6V左右時為高電平,表示 1狀態(tài);當電壓值為 0.3V以下時為低電平,表示0狀態(tài)。在實驗中,我們可以通過測試門電路輸入與輸出的邏輯關系, 分析和驗證門電路的邏輯功能。我們實驗中的集成電路芯片主要以TTL集成電路為主。這種邏輯關系是由門電路的組表1-0門電路的邏輯功能"類型邏輯式邏輯符號參考型號與門Y=A B或門無放大作用有放大作用Y=A+BY=AAKF nJ 彳、一反相器-A與非門Y= A?B或非門Y= A B與或非門Y=1A?2A 1B?2B異或門Y=A ® B =

6、A ? B + A? BOC門以與非門為例Y= A?BEN=1 時,Y=AEN=0時,Y=高阻態(tài)三態(tài)門(3S 門)EN =1 時,Y=AEN =0時,Y=高阻態(tài)7408 7409(OC)7411 7415(OC)7421743240504017(OC)BA一 >1Y1A &> 11B2A&2BA =1A一YB ENENC>7400 7403(OC)7410 7412(OC)7420 7422(OC)74027427 ( OC)745174867403 ( OC)7412674125V傳輸門C=1 , TG 通C=0, TG 斷CVi/VoTTL門電路是集成邏輯

7、電路的一種,是晶體管一一晶體管邏輯門電路的簡稱。它具有參數(shù) 穩(wěn)定,工作可靠,開關速度高等優(yōu)點。實驗中的集成電路芯片主要以TTL集成電路為主。1基本門電路有與門、或門和非門。74LS0874LS15與門的邏輯功能是:有 0出0,全1出1。其邏輯表達式為 Y=AB。常見的與門有:(四2輸入與門)、74LS09 (四2輸入與門一一OC門)、74LS11 (三3輸入與門)、(三3輸入與門一一OC門)、74LS21 (雙4輸入與門)。74LS32或門的邏輯功能是: 有1出1,全0出0。其邏輯表達式為 Y=A+B。常見的或門有: (四2輸入或門)。非門的邏輯功能是:入 1出0,入0出1。其邏輯表達式為 Y

8、=A。 常見的非門有:74LS04 (六反相器)、74LS05 (六反相器一一OC門)。2與非門是由與門和非門有機組合而成的,它的邏輯功能是有 0出1,全1出0。其邏輯表達式為y=AB。常見的與非門有:74LS00 (四2輸入與非門)、74LS03 (四2輸入與非門 OC門)、74LS10 (三3輸入與非門)、74LS12 (三3輸入與非門一一OC門)、74LS20(雙4輸入與非門)、74LS22 (雙4輸入與非門一一OC門)、74LS30 (8輸入與非門)。 或非門是由或門和非門有機組合而成的,它的邏輯功能是有1出0,全0出1。其邏輯表達式為Y=B。常見的或非門有:74LS02 (四2輸入或

9、非門)、74LS27 (三3輸入或非門)。 3異或門的邏輯功能是:兩輸入端相異得1,相同得0。其邏輯表達式是 Y=A B + A B=A ®B。常見的異或門有:74LS86 (四2輸入異或門)。同或門的邏輯功能是: 兩輸入端相同得1,相異得0。其邏輯表達式是:Y=AB+AB=A OB。 4可以用一種邏輯門構成另一種邏輯門,例如,用與非門構成與門、或門等。如圖1-1所示。圖1-1用與非門構成或門邏輯圖5門電路可以作為控制門。以圖1-2所示的2輸入與非門為例,用任一端A作為輸入端,而另一端B為控制端。若 B=1,則門打開,可以進行信息的傳遞,即丫= A ;若B=0,門關閉,信息不能通過,

10、丫=1。A 一BA(a)邏輯圖(b)波形圖 圖1-2 控制門實驗內容及步驟選擇實驗用的集成電路,將被測器件插入搭試板上的14芯插座中,并按下鎖緊開關。用導線將器件的14引腳與搭試板上的+5V電源相連,器件的第7引腳與搭試板上的 GND相連, 然后選擇公共板上開關作為輸入信號,發(fā)光二極管作為輸出信號,按自己設計的實驗接線 圖接好連線。特別注意Vcc及GND不能接錯。實驗中改動接線須先斷開電源,接好線后再通電實驗。1與非門和異或門邏輯功能的測試。(1) 74LS20雙4輸入與非門邏輯功能測試 74LS86四2輸入異或門邏輯功能測試 2根據(jù)電路圖寫出邏輯關系表達式。(1) 用74LS00按圖1-3,

11、1-4接線,將輸入輸出邏輯關系分別填入表1-1、表1-2中。(2) 寫出下面 圖1-3,1-4兩個電路邏輯表達式。輸入輸出ABY00011011表1-14&151 &3 1 圖1-39&10A13輸入輸出ABYZ00011011表1-2圖1-43利用與非門控制輸出。用一片74LS00按圖1-5接線,S接任一電平開關,用示波器觀察 S對輸出脈沖的控制作用。2O3 Y1-54用與非門組成其它門電路并測試驗證。(1)組成或非門a.用一片2輸入端四與非門組成或非門Y= A b = a?B = A?Bb.畫出電路圖C.測試并填表1-3輸入輸出ABY00011011表1-3(2)組

12、成異或門a. 將異或門表達式轉化為與非門表達式b. 畫出邏輯電路圖C.測試并填表1-4表1-4ABY000110115邏輯門傳輸延遲時間的測量。t pd 值。Y6用基本門電路組裝一個譯碼電路:將圖1-6BCD8421碼轉換成格雷碼。用六反相器(非門)按 圖1-6接線,輸入200KHZ連續(xù)脈沖,用雙蹤示波器測量輸入、輸 出相位差,計算每個門的平均傳輸延遲時間的實驗記錄1按各步驟要求畫邏輯圖、填表,并分析其特點。2畫出實驗中的電路圖,分析其功能,寫出其真值表和邏輯表達式。3總結門電路的類型。實驗報告及思考 實驗報告要求:實驗項目名稱、要求、內容及步驟(包括流程圖與電路圖等),實驗記錄結果結果并回答

13、以 下問題(至少三個以上)。1 TTL門電路 有一個輸入端懸空,相當于該端輸入什么信號?當與非門只用一個輸入端,其它輸入端懸空時,該元件具有什么功能?異或門又稱可控的反相器,為什么?門電路不加電源和地,可以正常工作嗎?56 過?怎樣判斷門電路邏輯功能是否正常?與非門一個輸入接連續(xù)脈沖,其余端什么狀態(tài)時允許脈沖通過?什么狀態(tài)時禁止脈沖通實驗2組合邏輯電路分析與設計實驗預習1仔細閱讀實驗指導書,了解實驗內容和步驟。2復習半加器、全加器和多位加法器的邏輯功能。3設計實驗任務中要求組裝的電路,選擇集成電路,畫出實驗線路圖。設計時,可盡 量選用與非門、譯碼器、數(shù)據(jù)選擇器。實驗目的熟悉譯碼器、掌握譯碼器、

14、掌握半加器、數(shù)據(jù)選擇器的結構和功能測試方法。 數(shù)據(jù)選擇器的邏輯功能及其應用。 全加器和多位加法器的邏輯功能。掌握用門電路構成組合邏輯電路的設計、組裝和功能測試的基本方法。 熟悉TTL加法器功能的測試方法。學習排查故障的方法。實驗儀器綜合實驗裝置數(shù)字萬用表器件一套一塊74LS13874LS15174LS2074LS0074LS043-8譯碼器8-3數(shù)據(jù)選擇器 (雙-4輸入與非門) (四-2輸入與非門) (六反相器)實驗原理說明計算機中數(shù)的操作都是以二進制進位的,最基本的運算就是加法運算。按照進位是否 加入,加法器分半加器和全加器兩種。半加器計算機中的異或指令的功能就是求兩個操作數(shù)各位的半加和。一

15、位半加器有兩個輸入、兩個輸出。一位半加器的真值表見表 2-1,據(jù)真值表可得到半加器的輸出函數(shù)表達式:表2-1一位半加器的真值表輸入輸出BiAiSiCi0000011010101101Si= Ar?Bi Ai ?Bi =Ai ® BiCi=Ai Bi邏輯表達式的硬件實現(xiàn),則要根據(jù)所提供的實驗芯片。集成電路正異或門74LS86就是一位半加器。全加器計算機中的加法器一般就是全加器,它實現(xiàn)多位帶進位加法。下面以一位全加器為例 介紹。一位全加器有三個輸入、兩個輸出?!斑M位入” Ci-1指的是低位的進位輸出,“進位出”Ci即是本位的進位輸出。一位全加器的真值表見表2-2。表2-2一位全加器的真值

16、表輸入輸出Ci-1BiAiSiCi0000000110010100110110010101011100111111根據(jù)表3-2便可寫出邏輯函數(shù)表達式:Si=Ai ?Bi ?Ci 1 Ai ?Bi ?0 1 Ai ? Bi ? Ci 1 Ai ? Bi ?Ci 1= (Ai® Bi)® Ci-1Ci=Ai Bi+Ai Ci-1+Bi Ci-1=Ai (Bi+Ci-1)+Bi Ci-100100111SiAiCi0101:1010BiBiC i-1一位全加器的卡諾圖如圖2-1所示Ci-1圖2-1一位全加器卡諾圖Ai與Bi的半加和Hi全加功能的硬件實現(xiàn),有多種方法。例如,可以把全

17、加和看作是 與進位輸入Ci-!的半加和來實現(xiàn)。多位全加器就是在一位全加器原理上擴展而成的。集成電路全加器有 74LS80 (一位全加器)、74LS81 (二位全加器)、74LS83 (四位全 加器)等。用中規(guī)模集成電路實現(xiàn)邏輯函數(shù)的要點是:先將函數(shù)化為最小項表達式 (列其真值表),再利用集成電路內部的邏輯關系,配接必要的外電路來實現(xiàn)此表達式。用中規(guī)模集成電路 實現(xiàn)邏輯函數(shù),方法簡便,使用靈活,線路簡單,其應用日益廣泛。實驗內容及步驟1用3線-8線譯碼器74LS138及門電路74LS20 (雙4輸入與非門)各1片,設計、 組裝全加器根據(jù)所設計的電路接線,按照全加器真值表驗證設計的正確性,分析實驗

18、中出現(xiàn)的問 題及解決的方法并將實驗測試結果記錄在自擬的表格中。2用2片八選1數(shù)據(jù)選擇器74LS151組裝全加器根據(jù)所設計的電路接線,按照全加器真值表驗證設計的正確性,分析實驗中出現(xiàn)的問 題及解決的方法并將實驗測試結果記錄在自擬的表格中。3用一片8選1數(shù)據(jù)選擇器74LS151設計一個電路:在 4位二進制數(shù)(由0到15) 中選出所有能被2或3整除的數(shù)。*4 設計并組裝一保險箱用數(shù)字密碼鎖電路。要求:開保險箱時,需輸入3位代碼,同時用該保險箱的鑰匙開鎖。若輸入代碼與事先設定的代碼相同,而且鑰匙正確,則鎖被打 開。如果代碼不符,則電路將發(fā)出報警信號。參考方框圖如下:圖2-1 數(shù)字代碼鎖方框圖設A2、A

19、1、A0為設定代碼,B2、B1、B0為輸入代碼。E為鑰匙孔信號。鑰匙正確時 為1,錯誤時為0。丫1=1時,鎖打開;丫2=1時,則報警。實驗報告及思考 實驗報告要求:實驗項目名稱、要求、內容及步驟(包括邏輯圖),實驗記錄結果結果并回答以下問題(至少三個以上)。1組合邏輯設計的要點是什么?2用中規(guī)模集成電路實現(xiàn)邏輯函數(shù)與用門電路實現(xiàn)邏輯函數(shù)的方法有什么不同?3用譯碼器和數(shù)據(jù)選擇器實現(xiàn)組合邏輯函數(shù)有何不同?4本次實驗的收獲。1綜合實驗裝置一套2器件74LS00二輸入端四與非門1片74LS86兩輸入端四異或門1片74LS74雙D觸發(fā)器1片74LS76雙JK觸發(fā)器1片74LS75四位D鎖存器1片74LS

20、373三態(tài)輸出八D鎖存器1片實驗原理說明時序邏輯電路與組合邏輯電路不同之處在于,它在任一節(jié)拍的穩(wěn)定輸出不僅取決于該節(jié)拍的輸入,而且與前一節(jié)拍的狀態(tài)有關。因此,時序邏輯電路必定包含存儲環(huán)節(jié)(通常實驗儀器Qn+1不僅取決于實驗3觸發(fā)器、三態(tài)輸出觸發(fā)器及鎖存器實驗預習復習D鎖存器及D觸發(fā)器、JK觸發(fā)器的構成、工作原理和邏輯功能及特點。 熟悉觸發(fā)器的邏輯功能及相互轉換的方法。了解D鎖存器及D觸發(fā)器、JK觸發(fā)器的測試方法。復習三態(tài)觸發(fā)器和鎖存器的功能及使用方法。查閱手冊,了解實驗中使用的觸發(fā)器集成電路芯片的正確使用。 根據(jù)實驗內容,設計實驗電路和擬出實驗表格。畫出圖3-1中電路的CP和Q的波形。研究兩相

21、時鐘脈沖電路和(2/3)分頻電路的工作原理。實驗目的熟悉并掌握RS、D、JK觸發(fā)器的構成、工作原理和功能測試方法。 熟悉并驗證觸發(fā)器的邏輯功能及相互轉換的方法。了解觸發(fā)器的應用電路。掌握三態(tài)觸發(fā)器和鎖存器的功能及使用方法。學會用三態(tài)觸發(fā)器和鎖存器構成功能電路。由觸發(fā)器組成)。觸發(fā)器本身就是最簡單的時序邏輯電路,它的次態(tài)輸出 該時刻的輸入(例如 JK觸發(fā)器的信號),還與它的現(xiàn)態(tài)Qn有關。 1觸發(fā)器的邏輯功能雙穩(wěn)態(tài)觸發(fā)器是時序邏輯電路的基本單元電路。它具有記憶功能,能記憶邏輯電路的 狀態(tài)。雙穩(wěn)態(tài)觸發(fā)器有兩個穩(wěn)定狀態(tài):0狀態(tài)和1狀態(tài)。通常以Q=0, Q=1的狀態(tài)作為1狀態(tài)。無觸發(fā)信號時,觸發(fā)器保持其

22、原有的穩(wěn)態(tài)不變。只有在觸發(fā)信號有效時,觸發(fā)器才按 照它的特性方程重新確定它的穩(wěn)態(tài)(次態(tài)),稱為更新。次態(tài)可能與現(xiàn)態(tài)相同,也可能相反。觸發(fā)形式有:高電平觸發(fā)、低電平觸發(fā)、上升沿觸發(fā)和下降沿觸發(fā)以及主從觸發(fā)器的脈沖觸發(fā)等。(1) RS觸發(fā)器(RS鎖存器) 基本RS觸發(fā)器由兩個與非門出端。輸入端 R又稱置0端或復位下兩個輸出端一個為 1,另一個為A,B互相交叉耦合組成,R,S為輸入端,Q,Q為輸 (Reset)端,S又稱置1端或置位(set)端,正常條件 0,保持相反狀態(tài),其真值表如表3-1所示。表3-1基本RS觸發(fā)器真值表RSQn+101010111不變00不變同步RS觸發(fā)器由兩個與非門作引導門,

23、由它去控制基本RS觸發(fā)器,R、S稱為數(shù)據(jù)輸入端,CP端稱為時鐘脈沖,作為控制信號,故又稱控制脈沖。電路狀態(tài)由R、S決定,1端SdR、S也稱同步輸入端),Rd、Sd只允許在時1或置0,以實現(xiàn)清零或預置數(shù),使之具 不用時應將它們懸空,也就是都接高電平。同步RS觸發(fā)器的真值表但必須在時鐘脈沖 CP的作用下,才能使觸發(fā)器翻轉,即觸發(fā)器與時鐘脈沖同步地工作, 故稱同步或鐘控 RS觸發(fā)器。同步 RS觸發(fā)器中的基本觸發(fā)器,通常仍設有直接置 和直接置0端Rd,也稱它們?yōu)楫惒捷斎攵耍?鐘脈沖的間歇期內酌情使用,使用時鐘負脈沖置 有指定的初始狀態(tài), 如表3-2所示。RSQn+101110000Qn11不變同步RS

24、觸發(fā)器真值表表3-2D觸發(fā)器雙D型正邊沿維持-阻塞型觸發(fā)器 74LS74的功能表如表3-3所示,邏輯符號如圖 3-1。CP為時鐘脈所示。表中SD為異步置1端,Rd為異步置0端(或稱異步置位、復位端) 沖端。輸入輸出預置SD清除RD時鐘CPDQQ01XX1010XX0100XX1111t11011t001110XQ0Q074LS74 D觸發(fā)器功能表表3-31Rd 1141D 2131CP3121Sd :4111Q5101Q69GND7874LS74Vcc耳2D2CP 莎2Q2Q(a)引腳排列圖及狀態(tài)圖清除置數(shù)CPDDQQ(b)時序圖圖 3-174LS74JK觸發(fā)器主從JK觸發(fā)器由兩級RS觸發(fā)器組

25、成,前級為主觸發(fā)器,后級為從觸發(fā)器,并將后 級輸入反饋到前級輸入,以消除不確定狀態(tài)。在兩級時鐘輸入端之間接一個非門,其作用 是使主、從觸發(fā)器的時鐘脈沖極性相反。CP為時鐘脈沖輸入端,J、K為控制輸入端。主觸發(fā)器有兩個S端,一個接從觸發(fā)器Q,一個就是J輸入端,兩個S端是“與”的關系, 這個與門的輸出就是前級同步RS觸發(fā)器的S1輸入端,R端也有兩個,一個接從觸發(fā)器Q,一個就是K輸入,兩個 R端也是“與”的關系,它的輸出就是前級同步RS觸發(fā)器的R1輸入端,即 Si=J Q , Ri=KQ。在從觸發(fā)器中,也可引出其異步輸入端Sd 和 Rd。(a)O1162153144135126117108974LS

26、761K1Q1QGND2K2Q2Q2J1CP1Sd1Rd 1JVcc2CP2Sd2Rd00/ 001/ 0| 0X10/ 1( JK/ Q)11/ 100/ 110/ 1iI八邏輯符號01/ 011/ 0狀態(tài)轉換圖圖3-276LS76邏輯符號和狀態(tài)轉換圖表3-474LS76功能表電路原理輸入輸出預置SD清除RD時鐘CPJKQQ01XXX1010XXX0100XXX1*1*1100QQ01110101101011111觸發(fā)觸發(fā)111XXQ0Q0圖3-2是TTL的與門輸入主從 JK觸發(fā)器74LS76的邏輯符號和狀態(tài)轉換圖。當 CP 信號由高電平變到低電平時觸發(fā), 實現(xiàn)JK觸發(fā)器的功能。置數(shù)和置零不

27、受 CP控制,故SD 和RD為直接置數(shù)和直接置零,兩者均是低電平有效。但不應同時加低電平,否則將出現(xiàn) 異?,F(xiàn)象:Q和Q都是高電平;當SD和rd同時回到高電平時,觸發(fā)器狀態(tài)將是隨機的。(4)三態(tài)輸出觸發(fā)器及鎖存器Q的電平上。74LS75是四D鎖存器,主要用于存放十進制計數(shù)器的內容。每兩個 D鎖存器由一個 鎖存信號E控制,當E為高電平時,輸出端 Q隨輸入端D信號的狀態(tài)變化,當 E由高變 低時,Q鎖存在E端由高變低前1162153144135126117108974LS751Q 1D 2D E2Vcc3D4D4了1Q2Q_2QEiGND3Q3Q4Q輸入輸出EDQQ100111100XQ0Q 0(a)

28、引腳排列圖圖3-374LS373是具有三態(tài)輸出的八(b)功能表 76LS75引腳排列圖和功能表D鎖存器,它的輸出端 1Q8Q可直接與總線相連。當 三態(tài)允許控制端 EN為低電平時,1Q8Q為正常邏輯狀態(tài), 可用來驅動負載或總線。 當EN 為高電平時,1Q8Q呈高阻態(tài),既不驅動總線,也不為總線的負載,但鎖存器內部的邏輯 操作不受影響。當鎖存允許端ST為高電平時,Q隨數(shù)據(jù)D而變。當ST為低電平時,Q被鎖存在已建 立的數(shù)據(jù)電平。74LS373EN 1Q 1D 2D 2Q 3Q 3D 4D 4QGND:ECC1202193184175166157148139121011VCC8Q8D7D7Q6Q6D5D

29、5QST輸入輸出ENSTDQ0111010000XQ01XXZ(a)引腳排列圖圖3-4(b)功能表76LS373引腳排列圖和功能表2觸發(fā)器的轉換觸發(fā)器的轉換就是用一種類型的觸發(fā)器代替另一種類型的觸發(fā)器。一是為了充分發(fā)揮現(xiàn)有器件的作用。另一原因是,生產(chǎn)供應的集成觸發(fā)器電路多為JK觸發(fā)器和D觸發(fā)器,而不生產(chǎn)T觸發(fā)器和T 觸發(fā)器。這就需要進行觸發(fā)器的轉換。轉換方法見表3-5。表3-5觸發(fā)器的轉換原觸發(fā)器轉換成T觸發(fā)器T觸發(fā)器D觸發(fā)器JK觸發(fā)器RS觸發(fā)器DD=T® QnD=QnD=JQn +KQd=s+r cn觸發(fā)器=TQn+T QJKJ=KJ=1J=DJ=S觸發(fā)器K=TK=1K=Dk=rR

30、Sr=tQR=QR=Dr=kQ觸發(fā)器S=TQnS=QnS=DS=JQn3觸發(fā)器邏輯功能的測試為了測試觸發(fā)器的邏輯功能,可將觸發(fā)器輸入端接邏輯開關。如將JK置成11,借RD將觸發(fā)器置成 0狀態(tài),然后向 CP送入一個單脈沖,記下 Qn+1,檢驗是否與功能表相符。 再借SD將觸發(fā)器置成1狀態(tài),并向CP送入一單脈沖,進行檢驗。以后依次將JK置成10、 01、00,重復上述步驟,就完成了全部測試工作。4觸發(fā)器的應用可以利用觸發(fā)器的頻率特性設計其它頻率的電路。如:分頻電路、單穩(wěn)電路、沖息電 路、串行數(shù)據(jù)比較電路等。實驗內容及步驟1檢驗觸發(fā)器的邏輯功能(1) 維持-阻塞型D觸發(fā)器功能測試雙D型正邊沿維持-阻

31、塞型觸發(fā)器74LS74的引腳排列如圖3-1所示。CP為時鐘脈表中Sd為異步置1端,Rd為端異步置0端(或稱異步置位,復位端)沖端。a分別在SD、RD端加低電平,觀察并記錄 Q、Q端的狀態(tài)。CP=0 (或CP =1),改變D端信號,Q端的狀態(tài)是否變化? 表格自擬。b令SD、RD端為高電平,D端分別接高、低電平,用單脈沖作為 CP,觀察并記錄 當CP為0、1時Q端狀態(tài)的變化。c 當 SD= RD =1,記錄上述實驗數(shù)據(jù),d 當 Sd = Rd =1 ,將D和Q端相連,CP加連續(xù)脈沖,用雙蹤示波器觀察并記錄 對于CP的波形。負邊沿JK觸發(fā)器功能測試a將輸入端接乒乓開關。Q、Q的b用手控方式輸入時鐘脈

32、沖,按功能表在J、K端輸入不同數(shù)據(jù), 觀察并記錄變化情況,表格自擬。2觸發(fā)器的功能轉換(1)將JK觸發(fā)器轉換成D觸發(fā)器并檢驗其功能。按自己設計的電路圖接線,由輸入單元按D觸發(fā)器功能表從 D端輸入不同數(shù)據(jù),觀察并記錄輸入Q的變化,表格自擬。(2)將D觸發(fā)器轉換成T觸發(fā)器并檢驗其功能。按自己設計的電路圖接線,由四位輸入單元按 T觸發(fā)器功能表從 D端輸入不同數(shù)據(jù), 觀察并記錄輸入 Q的變化,表格自擬。3觀察波形將CP信號送入圖3-5的電路中。用雙蹤示波器觀測CP與Q的波形。將結果與理論分析的波形相比較,并觀察電路是在上升沿觸發(fā),還是在下降沿觸發(fā),并記錄在自擬表格 中。圖3-5觀察波形電路圖4兩相時鐘

33、脈沖電路此電路用來將單相時鐘脈沖CP轉換成兩相時鐘脈沖 Qa和Qb。Qa和Qb是兩個頻率相同而相位不同的時鐘脈沖,故稱為兩相時鐘脈沖。圖3-6是此電路的邏輯圖和波形圖。QaQb圖3-6(a)邏輯圖按圖3-6( a)連接實驗電路。用雙蹤示波器觀察并描繪下列波形:Qa和Qb :CP和Qa :CP和Q。與理論分析的結果相比較。*5( 2/3 )分頻電路 此電路的輸入信號 圖見圖3-7。CPICPQQQaQB(b)波形圖圖3-6兩相時鐘脈沖電路的頻率與輸出信號 CPO的頻率之比為3: 2。其邏輯圖和波形(a)邏輯圖CPICPOQ AQ B(b)波形圖圖3-7( 3/2)分頻電路按圖3-7( a)連接實

34、驗線路。用雙蹤示波器觀察并描繪下列波形:CPI和CPO;Qa和Qb。比較輸入和輸出脈沖的頻率。分析所測波形和頻率是否與理論分析的結果相符合。6三態(tài)輸出觸發(fā)器及鎖存器的功能及應用(1)驗證74LS75四位D鎖存器的功能,并將實驗數(shù)據(jù)記錄下來(2 )用74LS75組成數(shù)據(jù)鎖存器Ei和E2接到一起作為鎖存將74LS75的輸入端1D4D接邏輯開關作為數(shù)據(jù)輸入端,選通信號ST, 1Q4Q分別接到發(fā)光二極管,觀察由發(fā)光二極管顯示的輸出數(shù)據(jù)。(3)驗證74LS373三態(tài)輸出八D鎖存器的功能,并將實驗數(shù)據(jù)記錄下來注意EN和ST信號對輸入、輸出數(shù)據(jù)的影響,體會高阻態(tài)的意義。實驗記錄表3-6 TTLD觸發(fā)器功能測

35、試記錄Sd RdCPDnQcT01XX0110XX0111t00111101表3-7 JK觸發(fā)器動作功能測試記錄順序JKQQ1234表3-8將JK觸發(fā)器轉換為 D觸發(fā)器的實驗結果記錄DQQ10實驗報告及思考 實驗報告要求:實驗項目名稱、要求、內容及步驟(包括邏輯圖/邏輯符號、實驗記錄結果),并回答以下問題。1 RS鎖存器有哪些缺點?2繪出實測所得的兩相時鐘電路和(3/2)分頻電路的波形圖,并從理論上加以分析。3 TTL觸發(fā)器若要使異步置位端和異步復位端起作用,應加什么電平?這些端子在不 使用時應怎樣連接?4比較74LS75與74LS373的異同,總結鎖存器的組成、功能和應用。實驗4計數(shù)器及其應

36、用實驗預習仔細閱讀實驗指導書,了解實驗內容和步驟。復習同步計數(shù)器和異步計數(shù)器的工作原理和邏輯功能。 學會設計N進制計數(shù)器。擬出實驗內容的相關實驗電路圖。實驗目的進一步掌握計數(shù)器的工作原理和邏輯功能。掌握中規(guī)模集成計數(shù)器邏輯功能的測試方法。熟悉用中規(guī)模集成計數(shù)器實現(xiàn) N進制計數(shù)器的幾種方法。學習分析和排除故障的方法。實驗儀器1綜合實驗裝置2器件:一套74LS192雙時鐘同步十進制可逆計數(shù)器2片74LS161同步4位二進制加計數(shù)器2片74LS00四-2輸入與非門1片實驗原理說明1時序邏輯電路分析RoaRob圖4-1六分頻電路由圖 4-2可見,輸出脈沖如以圖4-1中電路的CP1端作為輸出端,Q3端作

37、為輸出端,4-3還可得,輸出脈沖的占空的頻率為輸出脈沖頻率的六分之一,故為六分頻電路。由圖 比為50%。678912345CP1CpQ1Q2CP3Q3圖4-2時序圖計數(shù)器和寄存器是在計算機和其他數(shù)字系統(tǒng)中廣泛應用的兩種時序邏輯功能部件。計 數(shù)器的基本功能是統(tǒng)計時鐘脈沖的個數(shù),就是實現(xiàn)計數(shù)操作,也可用于分頻、定時、產(chǎn)生 節(jié)拍脈沖等。寄存器的基本功能是存儲或傳輸二進制數(shù)碼表示的數(shù)據(jù)或信息,就是完成代 碼的寄存、移位、傳輸操作。2計數(shù)器表4-1 常見計數(shù)器類型TTL十 進 制同 步遞 增異步清除74LS160同步清除74LS162可 逆單時鐘74LS16874LS190雙時鐘74LS192異步二-五

38、-十進制74LS19674LS9074LS290雙十進制74LSLS90 (異步)四 位 進 制同 步遞 增異步清除74LS161同步清除74LS163可 逆單時鐘74LS16974LS191雙時鐘74LS193異 步二-八-十六進制74LS19774LS9374LS293雙四位二進制74LS393 (異步)異 步二-六-十二進制74LS92七位二進制十二位二進制十四位二進制74LS192D1Q1Q0CPDCPUQ2Q31234567GND L|8161514131211109VccDoCRBOCOPED2D3CR CP Do D1 D2 D3 CEP GND 1162153144135126

39、117108974LS161VxRCOQ。Q1Q2Q3CET"PE圖4-374LS192及74LS161引腳排列圖74LS192是同步十進制可逆計數(shù)器(雙時鐘,可預置),74LS161是同步4位二進制加計數(shù)器,其引腳排列圖見 圖4-3。中規(guī)模集成計數(shù)器多為二進制或十進制??捎梅答仛w零法和反饋置數(shù)法將之轉換成 進制計數(shù)器。反饋清零法在計數(shù)過程中,將某個中間狀態(tài) N1反饋清除端,使計數(shù)器返回到零重新開始計數(shù)。樣,就可將模較大的計數(shù)器作為模較?。镹)的計數(shù)器使用。如為異步清除,則N = N 1,有毛刺;如為同步清除,則N=N1+1,而且無毛刺。反饋置數(shù)法共有三種方法。第一種方法是將某個

40、中間狀態(tài)N1反饋到置數(shù)端,并將數(shù)據(jù)輸入端全部 接零。當計數(shù)到N1時,如為同步置數(shù),則在下一拍計數(shù)器回到零狀態(tài),再從零開始計數(shù)到 N1。所以計數(shù)的模 N=N1 + 1。無毛刺。如為異步置數(shù),則計數(shù)器立即回到零,N1隨之立即消失,再從零開始計數(shù)。這時的模N = N1,且有毛刺。第二種方法 是將模為N1的計數(shù)器的進位信號反饋到置數(shù)端,并將數(shù)據(jù)輸入端置成最小數(shù)N2。假設是同步置數(shù),貝y N=N1-N2;而異步置數(shù)的 N=N1-N2-1。N1反饋到N1 到 N2、模 N=N1-N2置數(shù)端。計數(shù)到 模 N=N1-N2+1 的計數(shù)器。第三種方法 是將數(shù)據(jù)輸入端置成最小數(shù)N2,并將計數(shù)過程的某一中間狀態(tài)N1后

41、再從N2開始重新計數(shù)。如為同步置數(shù),則構成計數(shù)序列為的計數(shù)器。如為異步置數(shù),則構成計數(shù)序列由N2到(N1-1 )、實驗內容及步驟74LS192設計實現(xiàn)2位十進制加計數(shù)器/24進制加計數(shù)器。1用兩片a用兩片74LS192設計實現(xiàn)2位十進制加計數(shù)器。先將計數(shù)器置零,然后輸入 計數(shù)脈沖,進行由00到99的計數(shù),并用LED顯示計數(shù)結果。b在2位十進制加計數(shù)器的基礎上,引入反饋,組成24進制加計數(shù)器,由 00到23的計數(shù),并用LED顯示計數(shù)結果。要求:分別用反饋清零法和反饋置數(shù)法組成。2用兩片74LS192設計實現(xiàn)2位十進制減計數(shù)器/24進制減計數(shù)器實驗a用兩片74LS192設計實現(xiàn)2位十進制減計數(shù)器。

42、實現(xiàn)從 99到00的遞減計數(shù)。 要求減到00時,發(fā)出一信號。24進制減計數(shù)器,由23b在2位十進制減計數(shù)器的基礎上,引入反饋,組成 到00的計數(shù),并用LED顯示計數(shù)結果。LED顯示計數(shù)結果。3用2片74LS161組成24進制計數(shù)器,并用實驗記錄1記錄實驗數(shù)據(jù)和波形。2說明實驗過程中遇到的問題及解決的方法。實驗報告及思考實驗報告要求: 實驗項目名稱、要求、內容及步驟(包括邏輯電路圖、1說明構成任意進制的兩種方法。2在組成24進制減計數(shù)器時,能否用借位輸出實驗記錄結果),并回答以下問題。BO來產(chǎn)生反饋信號?為什么?3同樣是用反饋清零法實現(xiàn) 24進制加計數(shù)器,采用74192與采用74161實現(xiàn)時是否

43、有 不同?請具體說明。192 8進制、100進制、24進制加減、16進制加兩種方法161 24進制加法,實驗5寄存器及序列信號發(fā)生器實驗預習熟悉寄存器74LS164、74LS165、74LS194的功能及使用。擬出實驗內容1的實驗電路和數(shù)據(jù)表格,分析每個實驗步驟中寄存器的狀態(tài)。擬出實驗內容2、3、4、5、6的實驗電路圖,畫出狀態(tài)圖。如何使環(huán)形(或扭環(huán))計數(shù)器出現(xiàn)無效狀態(tài)?了解計數(shù)器和移位寄存器構成序列發(fā)生器的原理與方法實驗目的進一步掌握移位寄存器的工作原理和邏輯功能。 掌握中規(guī)模集成移位寄存器邏輯功能的測試方法。掌握用集成移位寄存器構成環(huán)形計數(shù)器、扭環(huán)計數(shù)器、奇數(shù)分頻器的方法。 鞏固移位寄存器

44、、環(huán)行計數(shù)器和扭環(huán)計數(shù)器的知識。學會并掌握計數(shù)器和移位寄存器構成序列發(fā)生器。實驗儀器1綜合實驗裝置2器件:一套74LS1383-8譯碼器1片74LS1644位移位寄存器(串入-并出)1片74LS1654位移位寄存器(并入-串出)1片74LS1944位移位寄存器(并入-并出)1片74LS00四-2輸入與非門1片實驗原理說明1寄存器和移位寄存器它的主要組成部寄存器是計算機和其他數(shù)字系統(tǒng)中用來儲存代碼或數(shù)據(jù)的邏輯部件,分是觸發(fā)器。一個觸發(fā)器存儲1位二進制代碼,要存儲 n位二進制代碼的寄存器就需要n個觸發(fā)器。有些寄存器只具有存儲數(shù)碼的功能,如74LS175( 4位集成寄存器)。但是有時為了處理數(shù)據(jù),需

45、要將寄存器中的各位數(shù)據(jù)在使能端控制信號作用下,依次向高位或低位移動1位,這就需要移位寄存器了。 移位寄存器不僅能存儲數(shù)碼,而且還具有使數(shù)碼移動的功能。移位寄存器可以由 D觸發(fā)器構成,也可把JK觸發(fā)器改接成 D觸發(fā)器后構成,如圖5-6 所示。74LS164是8位移位寄存器(串行輸入,并行輸出)114213312411510697874LS164A B QaQBQcQ d GNDVccQhQgQfQeCLRCLK(a)引腳排列圖輸入輸出CRCPDsaDsbQaQbQh0XXX00 010XXQa0Qb0Qh01t111QAnQHn1t0X0QAn QHn1tX00QAn QHn(b)功能表圖5-1

46、74LS164移位寄存器74LS165是8位移位寄存器(并行輸入,互補串行輸出)O1162153144135126117108974LS165VccCP1D】CBADsQ7SH/LDCP0 E F G HqVGND(a)引腳排列圖輸入內部輸出輸出SH/LDCP1CP0DsA HQ0Q1 Q6Q70XXXA HABGH11XXX XQ00Q10 Q60Q70100XX XQ00Q10 Q60Q7010t1X-X1Q0nQ6nQ7nQ7n10t0X-X0Q0nQ6n(b)功能表圖5-274LS165移位寄存器5-1是它的功能表。74LS194是4位雙向移位寄存器,具有左移、右移、置數(shù)、清除、保持、串入、并入、 串出、并出等功能。 圖5-3是它的引腳排列圖,表01162153144135126117108974LS194CLRDsrDoD1D2D3DslGNDVccQoQ1Q2Q3CPM1Mo圖5-374LS194引腳排列圖表5-174LS194功能表CLRM0M1CPDslDsrD0D1D2D3Q0Q1Q2Q30XXXXX

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論