探究可編程基于FPGA的高速數(shù)據(jù)記錄系統(tǒng)的論文_第1頁
探究可編程基于FPGA的高速數(shù)據(jù)記錄系統(tǒng)的論文_第2頁
探究可編程基于FPGA的高速數(shù)據(jù)記錄系統(tǒng)的論文_第3頁
探究可編程基于FPGA的高速數(shù)據(jù)記錄系統(tǒng)的論文_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、探究可編程基于FPGA的高速數(shù)據(jù)記錄系統(tǒng)的論文    關(guān)鍵詞:數(shù)據(jù)記錄論文IDE接口論文ATA協(xié)議論文現(xiàn)場可編程門陣列論文摘要2-3ABSTRACT3-7第一章緒論7-121.1數(shù)據(jù)記錄系統(tǒng)探討的近況和成果7-91.2數(shù)據(jù)記錄系統(tǒng)探討的目的和作用9-101.3論文主要摘要:針對CCD相機輸出的高速圖像數(shù)據(jù)流,設(shè)計了以FPGA為記錄制約器,以IDE硬盤為存儲介質(zhì)的脫機的多硬盤數(shù)據(jù)記錄系統(tǒng)。系統(tǒng)具有記錄速度快、容量大、體積小、易于擴展升級的優(yōu)點。論文首先比較不同結(jié)構(gòu)的數(shù)據(jù)記錄系統(tǒng),根據(jù)目前記錄系統(tǒng)對速度,靈活性的要求采取FPGA制約IDE硬盤的系統(tǒng)結(jié)構(gòu)。對A

2、TA接口協(xié)議及IDE硬盤進行了深入探討,采取ATA接口協(xié)議中的Ultra DMA方式4,在接口協(xié)議的基礎(chǔ)上對制約器的功能進行模塊劃分,并對各功能模塊的設(shè)計進行了詳細淺析。各模塊的VHDL代碼經(jīng)過編譯、綜合、布局布線及仿真驗證,滿足Ultra DMA方式4的要求。在軟件設(shè)計的基礎(chǔ)上,進行了硬件設(shè)計,選擇具有低功耗、高性能的FPGA芯片(Altera公司的EP2C8Q208C8)設(shè)計記錄制約器。其它部分的硬件電路包括電源模塊、復(fù)位模塊、時鐘模塊、FPGA配置模塊、接口模塊。運用Quartus集成的內(nèi)部邏輯淺析軟件SignalTap 進行實時驗證,實驗結(jié)果表明設(shè)計的正確性以及用FPGA實現(xiàn)多硬盤數(shù)據(jù)

3、記錄系統(tǒng)的可行性,突發(fā)數(shù)據(jù)傳輸速率可以達到63MByte/s。論文所論述的工作對日后機載、雷達等場合的高速數(shù)據(jù)記錄系統(tǒng)的設(shè)計與實現(xiàn)具有重要的鋪墊作用。最后對所做工作進行了總結(jié),并對下一步工作提出了有用的倡議。    關(guān)鍵詞:數(shù)據(jù)記錄論文 IDE接口論文 ATA協(xié)議論文 現(xiàn)場可編程門陣列論文         摘要2-3ABSTRACT3-7第一章 緒論7-121.1 數(shù)據(jù)記錄系統(tǒng)探討的近況和成果7-91.2 數(shù)據(jù)記錄系統(tǒng)探討的目的和作用9-101.3 論文主要的探討工作和任務(wù)101.4 論文基本結(jié)構(gòu)10-12第二章 高速數(shù)據(jù)記錄系統(tǒng)的總體設(shè)計12-162.1 系統(tǒng)的總體設(shè)計12-132.2 系統(tǒng)的參數(shù)設(shè)計13-16第三章 高速數(shù)據(jù)記錄系統(tǒng)的存儲介質(zhì)16-323.1 硬盤的參數(shù)和特性16-183.2 ATA 接口協(xié)議18-283.3 硬盤的硬件連接28-32第四章 高速數(shù)據(jù)記錄系統(tǒng)的記錄制約器32-734.1 記錄制約器的總體設(shè)計32-344.2 記錄制約器的程序設(shè)計流程34-354.3 記錄制約器的詳細設(shè)計35-724.4 記錄制約器的性能淺析72-73第五章 高速數(shù)據(jù)記錄系統(tǒng)的硬件設(shè)計73-815.1 FPGA 的電路設(shè)計73-765.2 FPGA 與硬盤接

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論