版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、電子技術(shù)課程設(shè)計(jì)出租車計(jì)費(fèi)器的設(shè)計(jì)學(xué)院:電子信息工程學(xué)院班級(jí):姓名:學(xué)號(hào):指導(dǎo)教師:日期:2009年12月08日出租車計(jì)費(fèi)器設(shè)計(jì)一、設(shè)計(jì)任務(wù)與要求設(shè)計(jì)并制作一臺(tái)出租車計(jì)費(fèi)系統(tǒng),要求如下:(1) 實(shí)現(xiàn)計(jì)費(fèi)功能,計(jì)費(fèi)標(biāo)準(zhǔn)為:按行程里程收費(fèi),起步價(jià)為7. 00元,在 車行3公里后再按2. 2元/公里計(jì)費(fèi),當(dāng)計(jì)費(fèi)器達(dá)到或超過(guò) 20元時(shí), 每公里加收50%的車費(fèi)。(2) 實(shí)現(xiàn)模擬功能:能模擬汽車啟動(dòng)、停止、暫停、加速的狀態(tài)(3) 設(shè)計(jì)動(dòng)態(tài)掃描電路,將車費(fèi)和里程顯示出來(lái),各有兩位小數(shù)。二、總體框圖(1) 系統(tǒng)總體結(jié)構(gòu)片選信號(hào)產(chǎn)生模塊輸入信號(hào)模塊數(shù)據(jù)轉(zhuǎn)換模塊動(dòng)態(tài)描匹譯艸數(shù)碼管顯示樟數(shù)碼管控制電路出租車計(jì)費(fèi)器
2、的組成如上圖所示,各部分主要功能包括輸入信號(hào)模塊對(duì)車輪 傳感器傳送的脈沖信號(hào)進(jìn)行計(jì)數(shù),并以高低脈沖模擬出租汽車啟動(dòng),停止,暫停, 加速按鈕,具有輸入信號(hào)作用;數(shù)據(jù)轉(zhuǎn)換器模塊將計(jì)費(fèi)模塊輸出的車費(fèi)和路程轉(zhuǎn) 換成4位的十進(jìn)制數(shù)據(jù);譯碼/動(dòng)態(tài)掃描模塊將路程與費(fèi)用的數(shù)值譯碼后用動(dòng)態(tài) 掃描的方式驅(qū)動(dòng)數(shù)碼管;數(shù)碼管顯示模塊將公里數(shù)和計(jì)費(fèi)金額均用 4位LED數(shù)碼 管顯示。(2)其流程圖如下圖所示:開始結(jié)束(3) 各模塊設(shè)計(jì)功能及設(shè)計(jì)思路信號(hào)輸入模塊該模塊主要實(shí)現(xiàn)計(jì)費(fèi)功能和現(xiàn)場(chǎng)模擬功能。 計(jì)費(fèi)標(biāo)準(zhǔn)為:按行駛里程計(jì)費(fèi), 起步價(jià)為7.00元, 并在車行3 km后按2.20元/ km計(jì)費(fèi),當(dāng)計(jì)費(fèi)器達(dá)到或超過(guò) 20元時(shí)
3、,每公里加收50%的車費(fèi),車停止不計(jì)費(fèi)。并以高低脈沖模擬出租汽 車啟動(dòng)、停止、暫停、加速按鈕,具有輸入信號(hào)的作用。數(shù)據(jù)轉(zhuǎn)換模塊數(shù)據(jù)轉(zhuǎn)換模塊是一個(gè)模為10的加法計(jì)數(shù)器,可將計(jì)費(fèi)模塊輸出的車費(fèi)和 路程轉(zhuǎn)換成4位的十進(jìn)制數(shù)。顯示模塊顯示模塊是由七段LED數(shù)碼管譯碼和動(dòng)態(tài)掃描顯示兩部分組成。 采用的是共陰極七段數(shù)碼管,根據(jù)十六進(jìn)制數(shù)和七段顯示段碼表的對(duì)應(yīng)關(guān)系,其中要求路程和車費(fèi)都要用2位小數(shù)點(diǎn)來(lái)表示,所以須設(shè)置一個(gè)控制小數(shù)點(diǎn)的變 量,即程序中的dp。這段程序所示的是在數(shù)碼管的第二、第六個(gè)后面顯示 小數(shù)點(diǎn),實(shí)現(xiàn)了路程和車費(fèi)都用2位小數(shù)點(diǎn)表示的功能。數(shù)碼管控制及譯碼 顯示模塊將十進(jìn)制的輸入信號(hào)用七段數(shù)碼管
4、顯示,由七段發(fā)光二極管組成數(shù)碼顯示器,利用字段的不同組合??煞謩e顯示 09十個(gè)數(shù)字。片選信號(hào)產(chǎn)生模塊片選信號(hào)產(chǎn)生模塊是對(duì)數(shù)碼管進(jìn)行片選并讓其能夠按照要求顯示數(shù)據(jù)的模三、選擇器件設(shè)計(jì)開發(fā)軟件:Quartus 116.0EDA實(shí)驗(yàn)箱:EL教學(xué)實(shí)驗(yàn)箱四、功能模塊(1)jifei 模塊此模塊為計(jì)費(fèi)模塊(即總體結(jié)構(gòu)中的信號(hào)輸入模塊),實(shí)現(xiàn)計(jì)費(fèi)功能,計(jì) 費(fèi)標(biāo)準(zhǔn)為:按行駛里程計(jì)費(fèi),起步價(jià)為 7.00元,并在車行3公里后按2.20元/km 計(jì)費(fèi),當(dāng)計(jì)數(shù)器達(dá)到或超過(guò)20元時(shí),每公里加收50%勺車費(fèi),即按3.30元/km計(jì)費(fèi)。 CLK START STOP PAUSE JS為輸入信號(hào),分別代表汽車的起動(dòng)、停止、暫
5、停 和加速,CLK為掃描時(shí)鐘,硬件實(shí)現(xiàn)時(shí)加入時(shí)鐘信號(hào),另有兩個(gè)輸出,即CHEFEI和LUC分別代表車費(fèi)和路程,當(dāng)車處于行駛狀態(tài)時(shí),此模塊會(huì)自動(dòng)記錄下路程 與車費(fèi)狀況并作為轉(zhuǎn)換模塊的輸入。jifei模塊源程序library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity jfmk isport(clk,start,stop,pause,js:in std_logic;chefei,luc:out in teger range 0 to 8000);end jfmk;architecture on
6、e of jfmk isbeg inprocess(clk,start,stop,pause,js)variable a,b:stdo gic;variable aa:i nteger range 0 to 100;variable chf,lc:i nteger range 0 to 8000;variable nu m:i nteger range 0 to 9;beg inif(clkeve nt and clk=1)the nif(stop=0) thenchf:=0;num:=0;b:=1;aa:=0;lc:=0;elsif(start=0) the nb:=0;chf:=700;l
7、c:=O;elsif(start=1 and js=1 and pause=1) the nif(b=O)the nnum:=nu m+1;end if;if(num=9)the nlc:=lc+5; num:=O; aa:=aa+5;end if;elsif(start=1 and js=O and pause=1)the nlc:=lc+1; aa:=aa+1;end if;if(aa=100)the na:=1; aa:=O;elsea:=O;end if;if(lc3OO)the nn ull;elsif(chf=2000 and a=1)thenchf:=chf+330;end if
8、;end if;chefei=chf;luc=100則a:=1 ,aa:=0,否則a:=O, 如果 chf2000 and a=1則chf:=chf+330;以上各狀態(tài)表示汽車在三公里以外車費(fèi)在二十元以內(nèi)的狀態(tài)、車 費(fèi)在二十元以外的狀態(tài)。結(jié)束進(jìn)程,結(jié)束結(jié)構(gòu)體。jifei模塊的時(shí)序仿真圖:H-uneVt刃 p7Q 9 hi90 9 “ imp kthi tse.p kt no., hi i?o.,o 皿 aio.p 昭 2如卩也 2別 p 叭 ffro o m uw p 站IB1Ei1TQD比111111111口訓(xùn)|冊(cè)111 皿 iiuimiuiiiiwuiiiiuin 皿 uiHMiiuiiF
9、n 冊(cè) iiuiMiiimuuimniiiiiwiiwni川 uimnuiniiJ =Q Iml-AUEAxAarlxAopSula炮ZaD.a 血 300. D as 320.0 u 340. D as 36D41 a 3BG. D as 4J0D.0 謳 船0. D as UD.O 仙 璋ED. D as 赧.口 ns 50D.O ns 52A.0 rs iii1i11pSH ch&feaI7COelkiiiiiiiMiiiiiiiiMniiiiiiiMliiiinnwiiMiiimimiiiiiiiiimiiiiMiiiiiiim/iininiiiiiiiiiMnii 惻 oMminii
10、Ji111 lucI in、:】4芻fl別 幻巧丫 taoYi &5 :t: LioXiTsyaso束出為 乳X旳 joo羽佰 也lo 泊 5X220血血 篦溶如 淮馮Yesd 丫辱 血6。焰醉羽td黠巧x2boxtm-tst歸邂飛 酮570. .9 bt&10.,0 m650. ,0 ri呂的.卩劇丁30申:“TTO.p 如16回 chvftaY90Kiiqo-imwuiimniiwni 曲 iiwiiMiiuimiuuim 皿 iimiNiuNni 皿 iimiumiiuimiiNiinmiiuMiuiiiunS luc瓏託5 華90、:羽了 :;3DQ X305畑換25驚3。彷35鼻洌咲
11、45 )(350 丫軸):3EO ;20 熬PkT15titurt時(shí)序仿真圖分析:圖中的stop為汽車停止輸入端,上升沿有效;start為汽車啟動(dòng)輸入端,上 升沿有效;pause為汽車暫停輸入端,上升沿有效;js為汽車加速輸入端,上升 沿有效。CLK為時(shí)鐘源信號(hào);chefei為汽車車費(fèi)輸出端,luc為汽車路程輸出端。 由圖可知:當(dāng)stop,Start,pause,js全為高電平時(shí)路程按5的速度增加,車 費(fèi)為700.當(dāng)路程增加到300時(shí),車費(fèi)變?yōu)?20,以后路程每增加100,車費(fèi)增加 220。模塊生成圖:n*mit!11elkchetei(12.Jstartlu&12.0i1ft oppause
12、! I jjs| in朮(2)x模塊此模塊為數(shù)據(jù)轉(zhuǎn)換模塊,功能是將計(jì)費(fèi)模塊產(chǎn)生的車費(fèi)與路程的模擬量轉(zhuǎn)換 成數(shù)字量并輸出,它是一個(gè)模為10的加法計(jì)數(shù)器,可將計(jì)費(fèi)模塊輸出的車費(fèi)和 路程轉(zhuǎn)換成4位的十進(jìn)制數(shù)。其中DACLI為時(shí)鐘信號(hào),ASCOREBSCOR連接計(jì) 費(fèi)模塊的CHEFE和 LUC輸出為2個(gè)4位的十進(jìn)制數(shù),可以分別表示路程和車 費(fèi)情況。當(dāng)車運(yùn)行于不同狀況時(shí),此模塊會(huì)將不同的車費(fèi)與路程狀況轉(zhuǎn)換為數(shù)字 量并輸出。x模塊的源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity
13、x isport(daclk:i n stdo gic;ascore,bscore:i n in teger range 0 to 8000;age,ashi,abai,aqia n,bge,bshi,bbai,bqia n:out stdo gic_vector(3 dow nto 0); end x;architecture rt1 of x isbegi nprocess(daclk,ascore)variable comb1:i nteger range 0 to 8000;variable comb1a,comb1b,comb1c,comb1d:std_logic_vector(3
14、dow nto 0);begi nif (daclkeve nt and daclk=1)the nif(comb1ascore)the nif(comb1a=9 and comb1b=9 and comb1c=9)the n comb1a:=0000;comb1b:=0000;comb1c:=0000; comb1d:=comb1d+1;comb1:=comb1+1;elsif(comb1a=9 and comb1b=9)the n comb1a:=0000; comb1b:=0000;comb1:=comb1+1; comb1c:=comb1c+1;elsif(comb1a=9)the n
15、 comb1a:=0000;comb1b:=comb1b+1; comb1:=comb1+1;elsecomb1a:=comb1a+1; comb1:=comb1+1;end if;elseashi=comb1b;age=comb1a;abai=comb1c;aqia n=comb1d;comb1:=0;comb1a:=0000;comb1b:=0000;comb1c:=0000;comb1d:=0000;end if;end if;end process;process(daclk,bscore)variable comb2:i nteger range 0 to 8000;variable
16、 comb2a,comb2b,comb2c,comb2d:stdo gic_vector(3 dow nto 0); begi nif(daclkeve nt and daclk=1)the nif(comb2bscore)the nif(comb2a=9 and comb2b=9 and comb2c=9)the ncomb2a:=0000;comb2b:=0000;comb2c:=0000;comb2d:=comb2d+1; comb2:=comb2+1;elsif(comb2a=9 and comb2b=9)the ncomb2a:=0000;comb2b:=0000;comb2:=co
17、mb2+1;comb2c:=comb2c+1;elsif(comb2a=9)the ncomb2a:=0000;comb2b:=comb2b+1; comb2:=comb2+1;elsecomb2a:=comb2a+1; comb2:=comb2+1;end if;elsebshi=comb2b;bge=comb2a;bbai=comb2c;bqia n1L-JS tlhhB0000 応町BCOMLjBCOML+l kSCQTtB OOTCCKCiXiDlJfDD00000000301XtKTOOOQQOOOl 10xooratrowooMgXOOOfflTOWQLCCOKocamocBiOT
18、JKncooiMfliDILIK10MKIWIXooooL000】y, OQLQFfl briiiBDCD0O訊3HDEXDS bqLAfiElLiJJJB OOOCCCLijjjX QMB000(M X OOWOODOllOOCOMmOOLOlO戈OCOOOOWOLDLIIXdiidJc:irumjmrmrmnnmmnnmiTuumjuumjmTuumjiruuumjmrmnnrLrmnnnmmnnjVi射g m】OQ.p ai110 0 nsIgp:ai血0 HSL40Na亡IB回縣“g1000J1001X0000c00010010S MBMOOzzxzani*l 心BGOOD回 a申-a
19、jiECDODSB CUCCjcojmi)CC01100D0303CIDX0COJM00101Dy.COJOOBOODaOLXODOOCOJCOILODTaCCOOODKILaOLB biBranXW10DGOlCOX0 L0叵| b-xhiE,LJIH MilH*| bqj taiHOOClD回 13-EC4FAI: DOKiconDOiXoooooDoatniDOxoctncoDooiiiaLyDOODODOODnLOXOOOODOOOQlLrL(OOOOOODOlCOnDdkclkjuuumnnnnjTrLrLnjTrLruTrLrLnnjuuuuuuuuuuuuuuuinnnnnnnn
20、nnjTrLrLnnj時(shí)序仿真圖的分析轉(zhuǎn)換模塊的時(shí)序仿真圖如下圖所示:可見能將路程和車費(fèi)轉(zhuǎn) 換為4位的十進(jìn)制數(shù),便于8位數(shù)碼管顯示。由圖可知:當(dāng)ascore , bscore為13位二進(jìn)制數(shù),當(dāng)ascore為0-9時(shí)age從0到9變化,當(dāng)ascore為10時(shí)age 等于0,ashi等于1。當(dāng)bscore為0-9時(shí)bge從0到9變化,當(dāng)bscore為10 時(shí)bge等于0,bshi等于1。(3)sel模塊此模塊為片選信號(hào)產(chǎn)生模塊,功能是選擇八段數(shù)碼管按照設(shè)計(jì)要求進(jìn)行正確 的顯示。其具體的對(duì)應(yīng)關(guān)系如下表所示:接口序號(hào)數(shù)碼管SEL2SEL1SEL0狀態(tài)111第1位亮110第2位亮101第3位亮100第
21、4位亮011第5位亮010第6位亮001第7位亮0XX第8位亮在該模塊中CLK為時(shí)鐘信號(hào),A2.O為片選輸出,用于選擇數(shù)碼管,連接譯碼 顯示模塊的片選端C2.O。se模塊的源程序如下:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity sel isport(clk:in stdogic;a:out std_logic_vector(2 dow nto 0);end sel;architecture rt1 of sel isbeg inprocess(clk)variable b:
22、std_logic_vector(2 dow nto 0);beg inif(clkevent and clk=1)thenif(b=111)the n b:=000;elseb:=b+1;end if;end if;ad=a1; dpd=a2; dpd=a3; dpd=a4; dpd=b1; dpd=b2; dpd=b3; dpd=b4; dpn ull; end case;end process;end rtl;生成模塊:j xxxlnc2 .0dpF31(3 0 dp J衛(wèi)用-33(3 0fHPbip 012 P 0b33jq$b4p s0=i inst&?xxxl模塊的時(shí)序仿真圖:Wi
23、t.*V-iIxlc is ?e)P=0D asVU3. 0 its60.0 nsSO. D ns100.0 ns (II2D.0 bxHC16-71馬M*UDO f ODWcioao 廠5E6Ttmi 廠Tcido 廠萬(wàn)而IX 也win、iLtro X iidiaB QCB 0CB QCB OL 01B dlB OLB 1CB VB OC B IHOOMX皿口工一口山,0】WX】MMfLOOL*1030X 而MXhd、wmXDimX5H5Xuii】)Clwb、】BiXT meXildo)f山M帥moi山廠im】工iooi Y沖山 win尊noo廠泊】nn帥oome X OHl 試 WQQ X
24、 IO(H Y 1.Q1Q 丫 Wil * 00 1W1 114 mu :f iDOD 廠 Kim ;rTmD、:f ilio 工山皿 :iFom X ooldj loco : 1001 廠me XRhl XIT5BXliid、ilii 工(kco X oom :廠刁山 廠耐T WDI ; IDE *、】0L1 X L】dci XnaiX 】1旳 工 L】M X CIDM J: (HD】X DCHO 址 口0】l J: mCOlft ?40.9 m 50 9 ns 60 p nx Tfl. as . f E.q z LOO 0 t,s lim p t.s 】創(chuàng).卩晦 130.卩祜 140.0
25、m L50 0 ns 150,0 r.s 170.fl ns lfflJ.,0 i田田田L(fēng)dQBQBBIMrrD:L就1XddiXIid)LB )LLCUiilX10dXIDXLLCdlldTXiiLDXCtbL01X01DLTi血X01):山n)(Ltl!XiOiDTQ11X11gTLlDlXlllOXiiILinX j X00lDDOiiX01DOX0101yUD0:嘰LCCOX10&LXIDX10叮XLLCOXLLOEX11L0xmX g XCO0LB0L01LDXDILLX3000iODlLOLO )【LOliXunoXW110 X llLI X M22 XOWLx0010X1OLOC
26、iJ卩IB0L;dliLX.JOCOJC1(MUx.iOlO)MLi )(LLIJL110LJLnoX JL11 X w X K2LJCDOLOJLM11x0100xOLOLJtlB0LjQUQX1Q0LXWWion)IL 1LLOIX11WXnilX m X w01 X Kito XDOLL0100X0101XQLLO)qv=0111111;whe n0001=qv=0000110;whe n0010=qv=1011011;whe n0011=qqv=1100110;whe n0101=qv=1101101;whe n0110=qqqq=99999 thencn t:=0;tmp:=not
27、tmp;elsecn t:=c nt+1;end if;end if;clkout=tmp;end process;end one;div生成模塊圖:div-cIelk clkomt-t7”-”div模塊時(shí)序仿真波形:div將時(shí)鐘信號(hào)分頻,使頻率減小。(7)decode3_8 模塊sel 通過(guò)decode3_8模塊選擇數(shù)碼管 decode3_8模塊源程序:LIBRARY ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;ENTITY decode3_8 ISPORT(SEL:IN stdo gic_vector
28、(2 dow nto 0);Q:OUT stdogic_vector(7 downto 0);END decode3_8;ARCHITECTURE a OF decode3_8 ISBEGINQ=11111110whe n sel=0 else11111101when sel=1 else11111011whe n sel=2 else11110111whe n sel=3 else11101111whe n sel=4 else11011111whe n sel=5 else10111111whe n sel=6 else01111111whe n sel=7 else11111111;EN
29、D a;decode3_8生成模塊圖:r I dC4dl3 8. I二 t .” i?1 馬EL|207.al T instdecode3_8模塊時(shí)序仿真波形圖:liwiie:#4盤 IPBE】PE10. ns20 Q 皿MX 9 PSW. ns50 y ntW f ps7Q. 皿30 q m9Q耳PS1卸0a q S SELB i IIIE ima x mmolY muon X Jiiiam X unoiiii X lioiini X loiiuii X oiiimi X iiiiino諄(000 X 001X dw X on Xioa Xioi X no x in X aao1II1dec
30、ode3_8模塊選擇數(shù)碼管,當(dāng)sel信號(hào)從0-7變化時(shí),依次選擇1-8八個(gè) 數(shù)碼管。五、總體設(shè)計(jì)電路圖整體工作情況:(1) 模塊連接:計(jì)費(fèi)模塊的 elk、start、stop、pause和js 端連接輸入,chefei12.O 和 luc12.O連接轉(zhuǎn)換模塊的 ascore12.0與 bscore12.0;轉(zhuǎn)換模塊的daclk端連接輸入,age3.0至bqian3.0連接動(dòng)態(tài)掃描/譯碼/ 數(shù)碼管顯示模塊的a13.0至b43.0;動(dòng)態(tài)掃描/譯碼/數(shù)碼管顯示模塊的C2.0連接片選輸出SEL2.0 , DP端連接 輸出DP, D3.0連接數(shù)碼管控制模塊的D3.0;片選信號(hào)產(chǎn)生模塊的CLK端與輸入信號(hào)
31、相連,并連接轉(zhuǎn)換模塊的DACLI端,A2.0 連接片選輸出SEL2.0,并與動(dòng)態(tài)掃描/譯碼/數(shù)碼管顯示模塊的C2.0相連; 數(shù)碼管控制模塊的D3.0端與動(dòng)態(tài)掃描/譯碼/數(shù)碼管顯示模塊的D3.0端相 連,Q6.0端連接八段數(shù)碼管輸出Q6.0。(2) 硬件連接:CLK接 pin-28 輸入為1hz的脈沖;daclk是實(shí)驗(yàn)箱上50Mhz固定晶振START STOP PAUSE JS分別連接按鍵開關(guān),用于控制車的啟動(dòng)、停止、暫停 和加速;DP和 Q6.0分別連接八段碼顯示單元的a到dp;W7.0接數(shù)據(jù)線。(3) 結(jié)果分析:該電路的仿真波形圖如下:clk為jifei模塊時(shí)鐘信號(hào),daclk為時(shí)鐘信號(hào),
32、stop為汽車停止輸入端;start為汽車啟動(dòng)輸入端;pause為汽車暫停輸入端; js為汽車加速輸入端。DP表示小數(shù)點(diǎn)。hl斕 IB.TE1-0tlk3ir JJbx:1Ie3jLuu3 i呼m9UMrtrt1 1*5Et4p3068 i0回aS tpB 0L1B LLUU El33 iU百VMl刃3 a EGl1BorrVGAto |9 i國(guó)町亡VilnsfW 7?uko4kEdttdkJjiaaI:k kp*Ul2際2鬲VSAtllEVGADI;UhQTTIF*3才q1T5E的訕1502135tZGCE7elkd*elk1 Kfil7 ipvui-ixlu-LE 1xtfif旺鳥IqB
33、OHTfB 3ILL i*2i ivaUIVGkDE 1X Luniin30.0 2Inn i il:X I013QLL KXlOOimi而麗丫門“嘰:!:! XLUOIUL/ OHIjMl. JCLmiHtry lllimDiinLljHOLL IliLMII 長(zhǎng)MLDlHL Y HOI訂:U JQmLEIVnl-na W.TfHUJLLLOllL X DLLI CfitoLifl Y0BLLJJ X OLLLL IJ133LLI.Q該電路的總體管腳分配圖:clk:pi n-28;daclk:pi n-153;start:pi n-4;stop:pi n-5;pause:pi n-6;js:
34、p in-7;dp:pi n-82;q6.0:pi n-105;pi n-104;pi n-101;p in-100;pin-85;pi n-84;pi n-83;W7.0:pi n-86;pi n-87;pi n-88;pi n-93;pi n-94;pin-95;pi n-98;pi n-99;vga3.0:pi n-162;pi n-161;pi n-164;pi n-163;To1Assignee nt IMameValueEnabledOVGAE0LocationP3N 16ZYesLocationPINJ51YesVGAE2LocationPIN 164VesVGA3LocationPIN63Yes5回LocationPIN 166Yes5Lo
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 冀少版八年級(jí)生物上冊(cè)第三單元第一節(jié)呼吸作用課件
- 汽車租賃車輛識(shí)別代號(hào)制度
- 化工企業(yè)宿舍電費(fèi)管理方案
- 殘疾人體育活動(dòng)組織規(guī)范
- 員工培訓(xùn)與發(fā)展費(fèi)用管理辦法
- 傳統(tǒng)能源安全及文明施工承諾書
- 建筑工程合作的經(jīng)濟(jì)合同管理辦法
- 垃圾填埋場(chǎng)槽探工程合同
- 實(shí)驗(yàn)室安全培訓(xùn)記錄
- 環(huán)保企業(yè)會(huì)計(jì)崗位聘用合同
- 燈飾中英文術(shù)語(yǔ)
- 提高出院病案7天回收率PDCA持續(xù)改進(jìn)方案
- 爭(zhēng)戰(zhàn)得勝之方江秀琴
- 淺析初中數(shù)學(xué)學(xué)科特點(diǎn)與思想方法
- 施工方案及施工三措
- 小學(xué)數(shù)學(xué)教學(xué)中有效情境的創(chuàng)設(shè)與利用案例1
- 生涯彩虹圖(含分析)
- 《大數(shù)據(jù)導(dǎo)論通識(shí)課版》PPT課件
- 主井井口房施工組織設(shè)計(jì)范本
- 建筑制圖與識(shí)圖教案
- 門座式起重機(jī)檢驗(yàn)規(guī)程
評(píng)論
0/150
提交評(píng)論